Publications

Our team of researchers and scientists are among the most innovative and respected in their fields. We believe in leading rather than following, and our publications reflect our desire to stay at the forefront of the industry.

An Efficient, Cost-Effective, Continuous Polymer Purification Method

Alex Attard, "An Efficient, Cost-Effective, Continuous Polymer Purification Method ,"

Water Sensing Systems & The Future of Sensing Contaminants Real-Time

Dr. Adam Scotch, "Water Sensing Systems & The Future of Sensing Contaminants Real-Time ,"

Low and ultralow RI materials

Reuben Chacko, "Low and ultralow RI materials ,"

Recent Advances in Materials for Advanced Packaging

Rama Puligadda, "Recent Advances in Materials for Advanced Packaging ,"

Novel Materials for Advanced Packaging

Dr. Dongshun Bai, "Novel Materials for Advanced Packaging,"

Printed and Flexible CO2 Sensors Prepared via Different Carbon Materials: A Comparison Study

Jiadeng Zhu, "Printed and Flexible CO2 Sensors Prepared via Different Carbon Materials: A Comparison Study ,"

Process Challenges during CVD Oxide Depositiion on the Backside of 20-um thin, 300-mm wafers Temporarily Bonding to Glass Carrier

Alice Guerrero and imec, "Process Challenges during CVD Oxide Depositiion on the Backside of 20-um thin, 300-mm wafers Temporarily Bonding to Glass Carrier ,"

New developments in underlayers play key role in advanced EUV lithography

Joyce Lowes, "New developments in underlayers play key role in advanced EUV lithography,"

A Metal-Air Battery Based Electrochemical Oxygen Sensors

Tianyi Liu, "A Metal-Air Battery Based Electrochemical Oxygen Sensors,"

Printable Polyethyleneimine/Carbon Black Composites for CO2 Sensors

Tianyi Liu, "Printable Polyethyleneimine/Carbon Black Composites for CO2 Sensors,"

A Printed Sensor Array for Water Quality Monitoring

Dr. Adam Scotch, "A Printed Sensor Array for Water Quality Monitoring ,"

Hybrid Systems for Real-Time Water Quality Monitoring

Dr. Adam Scotch, "Hybrid Systems for Real-Time Water Quality Monitoring,"

Novel Assist Layer to Enhance EUV Lithography Performance of Photoresists on Different Substrates

Si Li, Joyce Lowes, Ruimeng Zhang, Ming Luo, Kelsey Brakensiek, Veerle Van Driessche, Douglas J. Guerrero, ","

Functionalized Carbon Nanotubes Enabled Flexible and Scalable CO2 Sensors

Tianyi Liu, Kening Lang, Daniel J. Padilla, Rebecca L. Eldredge, Christopher J. Robledo, Weston Bowen, Christopher W. Landorf, Vijaya Kayastha, Marriana Nelson, and Jiadeng Zhu, "Functionalized Carbon Nanotubes Enabled Flexible and Scalable CO2 Sensors,"

Roles of Underlayers in Resist and Underlayer Roadmap for EUV Lithography

Douglas Guerrero, "Roles of Underlayers in Resist and Underlayer Roadmap for EUV Lithography," Fujifilm Advanced Lithography Workshop,

Realization of sub-30 pitch EUV Lithography through the application of functional spin-on glass

Yichen Liang, "Realization of sub-30 pitch EUV Lithography through the application of functional spin-on glass," SPIE Advanced Lithography,

High-temperature stable spin-on carbon materials for advanced pattern transfer applications

Jakub Koza, "High-temperature stable spin-on carbon materials for advanced pattern transfer applications," SPIE Advanced Lithography,

New Developments in Temporary and Permanent Bonding Material Technologies for Advanced Packaging

Rama Puligadda, "New Developments in Temporary and Permanent Bonding Material Technologies for Advanced Packaging," CMC Conference,

Application of spin-on-glass underlayer on printing high-resolution line/space and contact hole patterning using extreme ultraviolet lithography

Yichen Liang, "Application of spin-on-glass underlayer on printing high-resolution line/space and contact hole patterning using extreme ultraviolet lithography," SMC (Strategic Materials Conference) Korea 2022,

Photonic Debond: Scalability and Advancements

Luke Prenger, "Photonic Debond: Scalability and Advancements ," Electronic Components and Technology Conference (ECTC),

Roles of Underlayers in Novel Patterning for EUV Lithography

Douglas Guerrero, "Roles of Underlayers in Novel Patterning for EUV Lithography," China Semiconductor Technology International Conference (CSTIC),

New Developments in Temporary and Permanent Bonding Material Technologies for Advanced Packaging

Alvin Lee , "New Developments in Temporary and Permanent Bonding Material Technologies for Advanced Packaging ," SEMICON Taiwan 2022 ,

3D Printable CO2 Sensors Enhanced via Modified Carbon Nanotubes

Jiadeng Zhu , "3D Printable CO2 Sensors Enhanced via Modified Carbon Nanotubes ," ICFPE 2022 ,

Mass-Deployable Printed Electronics for Air and Water Quality Measurements

Adam Scotch, "Mass-Deployable Printed Electronics for Air and Water Quality Measurements," TechBlick 2022,

Advancements, Versatility, and Flexibility of Dual-Layer Material System for Advanced Packaging Applications

Andy Jones , "Advancements, Versatility, and Flexibility of Dual-Layer Material System for Advanced Packaging Applications ," IMAPS 2022,

A Novel Photosensitive Permanent Bonding Material Designed for Polymer/Metal Hybrid Bonding Applications

Michelle Fowler, Mei Dong, Alice Guerrero, Baron Huang, Rama Puligadda , "A Novel Photosensitive Permanent Bonding Material Designed for Polymer/Metal Hybrid Bonding Applications ," CS MANTECH ,

A Single-Layer Mechanical Debonding Adhesive for Advanced Wafer-Level Packaging

Xiao Liu; Yubao Wang; Debbie Blumenshine; Mei Dong; Rama Puligadda, "A Single-Layer Mechanical Debonding Adhesive for Advanced Wafer-Level Packaging,"

Study Of Bondable Laser Release Material Using 355nm Energy To Facilitate RDL-First And Die-First Fan-Out Wafer-Level Packaging (FOWLP)

Chia-Hsin Lee; Baron Huang; Jennifer See; Luke Prenger; Yu-Min Lin; Wei-Lan Chiu; Ou-Hsiang Lee; Kuan-Neng Chen, "Study Of Bondable Laser Release Material Using 355nm Energy To Facilitate RDL-First And Die-First Fan-Out Wafer-Level Packaging (FOWLP)," IEEE Transactions on Components, Packaging and Manufacturing Technology, April 2022,

High-Temperature Stable Spin-On Carbon Materials For Advanced Pattern Transfer Applications

Jakub Koza, Caroline Evans, Runhui Huang, Jamie Storie, Vandana Krishnamurthy, Douglas Guerrero, "High-Temperature Stable Spin-On Carbon Materials For Advanced Pattern Transfer Applications ,"

Suppressing Stochastic Interaction To Improve EUV Lithography

Zhimin Zhu Sr., Joyce Lowes, Shawn Ye, Zhiqiang Fan, Tim Limmer, "Suppressing Stochastic Interaction To Improve EUV Lithography,"

Realization Of Sub-30-Pitch EUV Lithography Through The Application Of Functional Spin-On Glass

Yichen Liang, Kelsey E. Brakensiek, Joyce Lowes, Andrea M. Chacko,Ruimeng Zhang, Veerle Van Driessche, Xiaolong Lang, Jaishankar Kasthuri, Ming Luo, Douglas J. Guerrero, "Realization Of Sub-30-Pitch EUV Lithography Through The Application Of Functional Spin-On Glass,"

FLEX 2021 Virtual Conference

Ryan Moss, William Stone, "Unlocking the Future of IIoT Condition Monitoring: Integrated FHE Systems,"

Semicon China 2021 (CSTIC)

Runhui Huang, Xing-fu Zhong, Gu Xu, Boyu Zhang, Jakub Koza, Sean Simmons, "Development of planarizing spin-on carbon material for high-temperature processes," Semicon China 2021 (CSTIC), March 2021,

Semicon China 2021 (CSTIC)

Wenkai Cheng, Yubao Wang, Debbie Blumenshine, Xiao Liu, Dongshun Bai, and Rama Puligadda, "A Novel Multifunctional Single-Layer Adhesive Used for both Temporary Bonding and Mechanical Debonding in Wafer-Level Packaging Applications," Semicon China 2021 (CSTIC), March 2021,

32nd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)

Runhui Huang, Xing-Fu Zhang, Carissa Jones, Jakub Koza, Sean Simmons, "High-Temperature-Stable, Spin-On Carbon Materials for High-Aspect-Ratio Gap-Fill Applications," 32nd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), May 2021,

17th International Conference on Device Packaging

Luke Prenger, Xiao Liu, Xavier Martinez, Rama Puligadda, Vikram Turkani, Vahid Akhavan and Kurt Schroder, "Advancements of Temporary Bond and Debond: Creating Photonic Debond Methods and Materials for Wafer-Level Packaging," IMAPS 2021, April 12, 2021,

17th International Conference on Device Packaging

Baron Huang, Duo Tsai, Xiao Liu, Rama Puligadda, "A Novel Photosensitive Permanent Bonding Material for Polymer/Metal Hybrid Bonding," IMAPS 2021, April 12, 2021,

17th International Conference on Device Packaging

Rachel Cartaya, Arthur Southard, Dongshun Bai, John Massey , "A Low Tg Bonding Material for use with Wafer-Level System-in-Package (WLSiP) and Fan-Out Wafer-Level Packaging (FOWLP) Processes ," IMAPS 2021, April 12, 2021,

LOPEC 2021

Ryan Moss, William Stone, "Unlocking the Future of IIoT Condition Monitoring: Integrated FHE Systems," LOPEC 2021, February 2021,

Electronic Components and Technology Conference (ECTC) 2021

Yu-Min Lin, Sheng-Tsai Wu, Wei-Lan Chiu, Chao-Jung Chen, Tao-Chih Chang, Hsiang-Hung Chang, Chia-Hsin Lee, Xiao Liu, Chang-Chun Lee, "A novel multi-chip stacking technology development using a flip-chip embedded interposer carrier integrated in fan-out wafer-level packaging," Electronic Components and Technology Conference (ECTC) 2021, 2021,

Electronic Components and Technology Conference (ECTC) 2021

Koen Kennes, Alain Phommahaxay, Alice Guerrero, Olga Bauder, Samuel Suhard, Pieter Bex, Xiao Liu, Thomas Schmidt, Gerald Beyer and Eric Beyne, "Acoustic modulation during laser debonding of collective hybrid bonded dies ," Electronic Components and Technology Conference (ECTC) 2021, 2021,

Electronic Components and Technology Conference (ECTC) 2021

Chia-Hsin Lee, Xiao Liu, Luke Pregner, Kim Arnold, Yu-Min Lin, Wei-Lan Chiu, Chao-Jung Chen, and Kuan-Neng Chen, "Versatile laser release material development for chip-first and chip-last fan-out wafer-level packaging," Electronic Components and Technology Conference (ECTC) 2021, 2021,

Electronic Components and Technology Conference (ECTC) 2021

Xiao Liu, Yubao Wang, Debbie Blumenshine, and Rama Puligadda, "A Single-Layer Mechanical Debonding Adhesive for Advanced Wafer-Level Packaging," IEEE Electronic Components and Technology Conference (ECTC), 2021,

SPIE Advanced Lithography 2021

Shyam Paudel, Douglas Guerrero, Kayode Ajayi, Tim Limmer, Thomas Parson, Aiwen Wu , "Characterization and Reduction of Post-Litho and Post-Etch Defectivity in Advanced Si Hardmask Material," Advances in Patterning Materials and Processes XXXVIII, February 2021,

SPIE Advanced Lithography 2021

V. Dall'Astaa, E. Litterioa, N. Corneoa, J. Kozab, J. Jeauneaub, T. F. Bellunatoa, "Chemistry working for lithography: the Marangoni-effect-based single layer for enhanced planarization," Advances in Patterning Materials and Processes XXXVIII, February 2021,

Chip Scale Review

Koen Kennes, Alice Guerrero, Steven Brems, Jaber Derakhshandeh, Arnita Podpod, Samuel Suhard, Alain Phommahaxay, Gerald Beyer, Eric Beyne, "Laser Debonding in 2D and 3D Heterogeneous Applications," 2020,

FUJIFILM Webinar 2020

V. Dall'Astaa, E. Litterioa, N. Corneoa, J. Kozab, J. Jeauneaub, T. F. Bellunatoa, "2.0 semiconductors manufacturing: yield improvement by tuning underlayer chemistry," 2020,

Sensors

P U Ashvin Iresh Fernando, Gilbert K. Kosgei, Erik Alberts, Anton Netchaev , Jason D Ray, David Henderson, Keith Conley, Rishi Patel, Jonathan Fury and Lee C. Moores, "A Simple, Cost-Effective Electrochemical Sensor for Detection of Cu2+ In an Aquatic Environment,"

SPIE Advanced Lithography

V. Dall'Asta, E. Litterio, N. Corneo, J. Koza, J. Jeauneau, P. Cantù, "Marangoni effect-based under-layer for a Dual Damascene via-first approach: a scalable solution to the unwanted photoresist swing effect," SPIE Advanced Lithography 2020, March 23, 2020,

SPIE Advanced Lithography 2020

Runhui Huang, Xing-fu Zhong, Gu Xu, Boyu Zhang, Jakub Koza, Sean Simmons, "Development of planarizing spin-on carbon material for high-temperature processes," SPIE Advanced Lithography 2020, March 23, 2020,

SPIE Advanced Lithography Conference

Zhimin Zhu, Joyce Lowes, Shawn Ye, Zhiqiang Fan, Darin Collins, James Lamb, Tim Limmer, "Ultrathin film (n, k, t) fitting with physics compliance ," SPIE Advanced Lithography Conference , February 23, 2020,

SPIE Advanced Lithography,

Vineet Alexander, Shyam Paudel, Glenn Dado, Lucia D'Urzo, Virgil Briggs, Mona Bavarian, Rao Varanasi, Tim Limmer, Nick Brakensiek, Levi Gildehaus, Mike Mesawich, Douglas Guerrero, "Defect Mitigation and Characterization in Silicon Hardmask Materials," SPIE Advanced Lithography 2020, March 23, 2020,

2020 IEEE 70th Electronic Components and Technology Conference (ECTC)

Koen Kennes, Alain Phommahaxay, Alice Guerrero, Samuel Suhard, Xiao Liu, Gerald Beyer and Eric Beyne, "Introduction of a New Carrier System for Collective Die-to-Wafer Hybrid Bonding and Laser-Assisted Die Transfer," 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), 2020, pp. 296-302

SPIE Proceedings

Luke Prenger, Xiao Liu, Lisa Kirchner, Xavier Martinez, Samantha Oelklaus, Rama Puligadda, "Establishing a sidewall image transfer chemo-epitaxial DSA process using 193 nm immersion lithography," SPIE Proceedings, April 3, 2020, pp. 12

China Semiconductor Technology International Conference (CSTIC)

Xiao Liu, Lisa Kirchner, Luke Prenger, Wenkai Cheng, Rama Puligadda, "A Single-Layer Solution with Laser Debonding Technology for Temporary Bond/Debonding Applications in Wafer-Level Packaging," China Semiconductor Technology International Conference (CSTIC), 2020, pp. 1-4

2020 IEEE 70th Electronic Components and Technology Conference (ECTC)

Reihaneh Sejoubsari, Xiao Liu, Srinivas Thanneeru, Trevor Stanley, "Characterization and Application of a Novel Permanent Bonding Material," 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), June 2020,

SPIE Advanced Lithography 2020

Aiwen Wu, Hareen Bayana, Philippe Foubert, Andrea Chacko, Douglas Guererro, "Improving EUV Underlayer Coating Defectivity Using Point-Of-Use Filtration," SPIE Advanced Lithography 2020, March 23, 2020, pp. 8

2019 International Wafer Level Packaging Conference (IWLPC)

Alice Guerrero, Xiao Liu, Qi Wu, Kim Yess, and Kim Arnold, "Novel Temporary Bonding and Debonding Solutions Enabling an Ultrahigh Interonnect Density Fo-Wlp Structure Assembly with Quasi-Zero Die Shift," 2019 International Wafer Level Packaging Conference (IWLPC), 2019, pp. 1-6

2019 International Wafer Level Packaging Conference (IWLPC)

Alice Guerrero, Luke Prenger, Kim Yess, Kim Arnold, "The Growing Application Field of Laser Debonding: From Advanced Packaging to Future Nanoelectronics," 2019 International Wafer Level Packaging Conference (IWLPC), 2019, pp. 1-8

EPTC conference 2019

Dongshun Bai, "Material Advancement for Heterogenous Integration," 2019,

26th International Conference of Photopolymer Science and Technology

Douglas Guerrero, Nick Brekensiek, James Lamb, Mary Ann Hockey, Daniel Sweat, Richard Daugherty, "EUV & DSA: Complementary Technologies for Future Nodes," 26th International Conference of Photopolymer Science and Technology, June 25-27, 2019,

Sensors Expo and Conference

Dr. Vijaya Kayastha, "Role of Materials In Performance of Printed Temperature Sensors," Sensors Expo and Conference, June 25-27, 2019,

Electronic Products Magazine

Dr. Vijaya Kayastha, "Flexible Sensors Bring New Benefits for Temperature Measurement," Electronic Products Magazine, June 26, 2019,

70th Electronic Components and Technology Conference

Alice Guerrero, Kim Yess, Kim Arnold, A. Podpod, A. Phommahaxay, P. Bex, J. Slabbekoorn, J. Bertheau, A Salahouelhadj, E Sleeckx, A. Miller, G. Beyer, E Beyne, "Advances in Temporary Carrier Technology for High Density Fan-Out Build-Up," 70th IEEE Electronic Components and Technology Conference (ECTC), May 28-31, 2019,

ID Tech X Europe 2019 (Printed Electronics Europe

Dr. Urlich Herleb, "Industry 4.0 - Real Time Warehouse Condition Monitoring," ID Tech X Europe 2019 , April 11, 2019, pp. Unnumbered

Chip Scale Review

Tony Flaim and Jennifer See, "New Structural Plastics for Flexible Hybrid Electronics Fabrication," Chip Scale Review, May/June 2019, pp. 11-14

NCAP - Yole Developpment Symposium on Advanced Packaging & System Integration Technology 2019

Dongshun Bai, "Dual-Layer Temporary Bonding System for Advanced Packaging," NCAP - Yole Developpment Symposium, April 22, 2019,

SEMICON China (CSTIC)

Zhimin Zhu, "Thin Film Characterization for advanced Patterning," CSTIC 2019 (SEMICON China), March 19, 2019,

SEMICON China (CSTIC)

Dan Sullivan, "Tailoring Material and Process Variables to control Planarization at 7-nm Nodes," CSTIC 2019 (SEMICON China), March 19, 2019,

SPIE

K. Sakayuvi, "ACE: A New Chemo-Epitaxy Process Based on Spacer Patterning," SPIE, February 27, 2019,

IMAPS

Matthew Koch, Elisabeth Brandl, Thomas Uhrmann, Mariana Pires, Stefan Jung, Julian Bravin, Jurgen Bruggraf, Mathias Pichler, "Temporary and Permanent Bonding Enables 3D Integration of Ultrathin Wafers," IMAPS, January 2019,

SPIE Journal

Mary Ann Hockey, Nick Brakensiek, Kui Xu, Richard Daugherty, "An Alternative Line-Space Shrink EUVL Plus Complementary DSA Lithography," SPIE Journal, June 3, 2019, pp. Unnumbered

70th Electronic Components and Technology Conference

Xiao Liu, Baron Huang, Hong Zhang, Lisa Kirchner, Arthur Southard, Rama Puligadda, and Tony Flaim, "A Versatile Fan-Out Infastructure Based on Die-Stencil Substrate Promoted by an Advanced Multifunctional Temporary Bonding Material," 70th IEEE Electronic Components and Technology Conference (ECTC), May 28-31, 2019,

70th Electronic Components and Technology Conference

Michelle R. Fowler, John P. Massey, Tanja Braun, Steve Voges, Robert Gernhardt, Markus Wohrmann, "Investigation and Methods Using Various Release and Thermoplastic Bonding Materials to Reduce Die Shift and Wafer Warpage for eWLB Chip-First Processes," 70th IEEE Electronic Components and Technology Conference (ECTC), May 28-31, 2019,

SPIE Advanced Lithography 2019

Zhimin Zhu, Shawn Ye, Joyce Lowes, Sean Simmons, Catherine Frank, Tim Limmer, James Lamb, "Thin-Film Characterization for Advanced Patterning," SPIE Advanced Lithography 2019, February 26, 2019,

IMAPS

Luke Pregner, Xiao Liu, Qi Wu, Rama Puligadda, "Material Design Advancements Create Multifunctional Materials for Single-Layer Temporary Bonding and Debonding," IMAPS, March 6, 2019,

FLEX 2019

Ryan Giedd, Jonathan Fury, Dan Brewer, William Stone, "AI Needs Printed Electronics for Sensor Networks," FLEX 2019, February 20, 2019,

FLEX 2019

Ryan Giedd, Rishi Patel, Suresh K. Sitaraman, "Printed Flexible Electronic Devices: Signal Interface and Reliability Assessment," FLEX 2019, February 18, 2019,

FLEX 2019

Tony Flaim, Gu Xu, and Jennifer See, "Novel, Fast-Etching Plastic Packaging Materials for Laser Processing of Flexible Circuits and Printed Electronics," FLEX 2019, February 20, 2019,

SEMICON China (CSTIC)

Xiao Liu, Dongshun Bai, Lisa Kirchner, Rama Puligadda, Tony Flaim, "Advanced Multifunctional Temporary Bonding Materials with Heterogeneous Integrated Properties for Various Advanced Packaging Applications," CSTIC 2019 (SEMICON China), March 18-19, 2019,

China Semiconductor Technology International Conference (CSTIC)

Dongshun Bai, "Laser release technology for wafer level packaging," China Semiconductor Technology International Conference (CSTIC), 2018, pp. 1-3

WLP - China Workshop

Dongshun Bai, Doyle Edwards, "Advanced Packaging Workshop," WLP - China Workshop, November 23, 2018,

20th Electronics Packaging Technology Conference

Dongshun Bai, "Temporary Wafer Bonding Technology for Advanced Packaging," EPTC 2018 (IEEE 20th Electronics Packaging Technology Conference), December 6, 2018,

69th Electronics Components and Technology Conference

Jay Su, Xiao Liu, Luke Pregner, Chia-Hsin Lee, Yu-Min - Lin, Sheng-Tsai Wu, Chun-Min Wang, Shin-Yi Huang, Ang-Ying Lin, Tao-Chih Chang, Puru Bruce Lin, Cheng-Ta Ko, Yu-Hua Chen, Kuan-Neng Chen, "An RDL-First Fan-Out Panel-Level Package for Heterogeneous Integration Applications," ECTC 2018 (IEEE 69th Electronic Components and Technology Conference, May 29, 2018,

Chip Scale Review

Michelle Fowler, Christopher Apanius, Kimberly Yess, "High Temperature Survivability and the Processes it Enables," Chip Scale Review, November/December 2018, pp. 14-17

China Semiconductor Packaging and Testing Conference

Dongshun Bai, "New Bonding and Laser Release Materials for Advanced Packaging," China Semiconductor Packaging and Testing Conference (CSPTC 2018), November 20, 2018,

IWLPC

Luke Pregner, Qi Wu, Aruthur Southard, Debbie Blumenshine, Rama Puligadda, "Significant Advancement In Laser Ablative Release Layer Material Design Enabling Low Energy and Low-Residue Debond," IWLPC, October 24, 2018,

20th Electronics Packaging Technology Conference

Dongshun Bai, "Temporary Wafer Bonding Technology for Advanced Packaging," EPTC 2018 (IEEE 20th Electronics Packaging Technology Conference), December 4-7, 2018,

IWLPC

Michelle Fowler, Seth Molenhour, Phillip Tyler, Laura Mauer, "Temporary Bonding and the Challenge of Cleaning Post-Debond," IWLPC, October 2018,

FFEM Lithography Workshop, Dresden

Douglas Guerrero, Nick Brekensiek, James Lamb, Mary Ann Hockey, Kui Xu, Richard Daugherty, "EUV & DSAL Complementary Technologies for N5 Node and Beyond," FFEM Lithography Workshop, September 13-14, 2018,

SEMICON Taiwan 2018

James Lamb, Mary Ann Hockey, Nick Brakensiek, Kui Xu, Richard Daugherty, "The Marriage of DSA with EUVL: Leveraging the convergence of these technologies to achieve 5 nm and beyond," SEMICON Taiwan 218, August 27, 2018,

IMAPS

Michelle Fowler, John P. Massey, Mattew Koch, Kevin Edwards, Tanja Braun, Steve Voges, Robert Gernhardt, Markus Wohrmann, "Advances in Temporary Bonding and Debonding Technologies for use with Wafer-Level System-in-Package (WLSiP) and Fan-Out Wafer-Level Packaging (FOWLP) Processes," IMAPS, October 8-12, 2018,

Printed Electronics Now

Bruce Johnson, "Using Printed Electronics Sensor Systems to Protect Groundwater," Printed Electronics Now, July 24, 2018, pp. Unnumbered

Semiconductor Engineering

Tim Limmer, "Plan for Success with a Failure Mode and Effects Analysis and Control Plan," Semiconductor Engineering, July 12, 2018,

2018 NCAP-Yole Developpment Symposium on Advanced Packaging & System Integration Technology

Dongshun Bai, "The Advancement of Carrier-Assisted Substrate Handling Technology for Advanced Packaging," NCAP-Yole Developpment 2018, June 21, 2018,

68th Electronic Components and Technology Conference

Alice Guerrero, Dongshun Bai, Kim Arnold, "Advances in Temporary Bonding and Release Technology for Ultrathin Substrate Processing and High-Density Fan-Out Device Build-Up," ECTC (IEEE 68th Electronics Components and Technology Conference), May 29, 2018,

Solid State Technology Magazine

Kim Yess, "Material Innovations for Advancements in Fan-out Packaging," Solid State Technology Magazine, April/May 2018, pp. Unnumbered

TechConnect WORLD 2018

Wu-Sheng Shih, John K. Bledsoe, Dan Janzen, Chris Cox, Qihua Wu, Stephen Gibbons, Doyle Edwards, Christopher Landorf, "Advancing Sustainable Manufacturing of Carbon Nanomaterials and Devices through Life Cycle Assessment," TechConnect WORLD 2018, May 16, 2018,

LOPEC 2018

Mark A. Chappel, Wu-Sheng Shih, Lesley Miller, Brooke Stevens, John K. Bledsoe, William Stone, Chris Cox, Dan Janzen, Stephen Gibbons, Rishi Patel, Alan J. Kennedy, Jon Brame, Matthew Brondum, Stephen A. Diamond, Jessica Coleman, Doyle Edwards, Jeffery A. Steevens, "Identifying Potential Environmental Impacts of Carbon Nanotube-Based Printed Electronic Sensor Platforms," Printed Sensor LDA - LOPEC, March 2018,

SPIE

Nickolas Brakensiek, Kui Xu, Daniel Sweat, Mary Ann Hockey, "Optimized Plasma Etch Window of Block Copolymers and Neutral Brush Layers for Enhanced Direct Self-Assembly Pattern Transfer into a Hardmask Layer," SPIE, March/April 20, 2018,

68th Electronics Components and Technology Conference

Alice Guerrero, Dongshun Bai, Kim Arnold (Alain Phommahaxay, Arnita Podpod, John Slabbekoorn, Erik Sleeckx, Gerald Beyer, Eric Beyne, "Advances in Temporary Bonding and Release Technology for Ultrathin Substrate Processing and High-Density Fan-Out Device Build-Up," ECTC (IEEE 68th Electronics Components and Technology Conference), May 29, 2018,

68th Electronics Components and Technology Conference (ECTC)

Hong Zhang, Xiao Liu, Shawna Rickard, Rama Puligadda, Tony Flaim, "Novel Temporary Adhesive Materials for RDL-First Fan-Out Wafer-Level Packaging," ECTC (IEEE 68th Electronics Components and Technology Conference), May 29, 2018,

SPIE Advanced Lithography

Kui Xu, Eric Calderas, Mary Ann Hockey, Douglas Guerrero, "Straightforward directed self-assembly process flows enabled by advanced material," SPIE Advanced Lithography, February 28, 2018,

ECTC Conference

Michelle Fowler, John P. Massey, Ramachandran K. Trichur, Matthew Koch, "Dual-Carrier Process Using Mechanical and Laser Release Technologies for Advanced Wafer-Level Packaging," ECTC Conference, May 29, 2018,

Chip Scale Review

Ramachandran K. Trichur, Rama Puligadda, and Tony D. Flaim, "Sacrificial Laser Release Materials for RDL-First Fan-Out Packaging," Chip Scale Review, March/April 2018, pp. 10-16

2018 Flex - Sensors and Power

Dominic Miranda, "Printed Carbon Nanotube Sensor for Gas Detection," 2018 FLEX - Sensors and Power, February 15, 2018,

IMAPS

Shelly Fowler, Seth Molenhour, Laura Mauer, Phillip Tyler, "Temporary Bonding and the Challenge of Cleaning Post-Debond," IMAPS, October 23-26, 2018,

3DIncites 2018 Outlook

Ramachandran K. Trichur, "3D InCites' 2018 Outlook for Advanced Packaging Materials," 3DIncites 2018 Outlook, January 12, 2018,

ECTC

Alvin Lee, Jay Su, Kim Arnold, Jim-Wein Lin, Puru Lin, Cheng-Ta Ko, Yu-Hua Chen, Wen-Wei Shen, Yu Min Lin, "Optimization of Laser Release Process for Throughput Enhancement of Fan-Out Wafer-Level-Packaging," ECTC (IEEE), May/June 29, 2018,

Silicon Semiconductor Magazine

Darin Collins, "Defect Reduction Requirements for Future Nodes," Silicon Semiconductor Magazine, March 2018, pp. Unnumbered

Semiconductor Technology International Conference (CSTIC)

Dongshun Bai, Xiao Liu, Hong Zhang, Ram Trichur, Rama Puligadda, and Tony Flaim, "Laser Release Technology for Wafer Level Packaging," CSTIC 2018, March 11-12, 2018,

SPIE 2018

Zhimin Zhu, Amanda Riojas, Trisha May, "High-Fidelity Lithography Against Stochastic Effects," Optical Microlithography (SPIE 2018), March 20, 2018,

SPIE

Kui Xu, Eric Calderas, Mary Ann Hockey, Douglas Guerrero, Richard Daugherty, Yichen Liang, "Straightforward directed self-assembly process flows enabled by advanced material," SPIE, March 13, 2018,

EPTC 2017

Ramachandran K. Trichur, "Temporary Bonding Processes For Fan-Out Packaging," EPTC 2017 - 19th Electronics Packaging Technology Conference, December 6-9, 2017,

3D ASIP Conference 2017

Kim Yess, "The Evolution of Substrate Build-Up and Thin Wafer Handling," 3D ASIP Conference 2017, December 5, 2017,

SETAC 2017

Alan Kennedy, Stephen Diamond, Jonathon Brame, Taylor Rycroft, Jessica Coleman, Nick Melby, Mark Ballentine, Mark Chappell, Wu-Sheng Shih, Viral Panchal, "Properties and hazard uncertainty are bigger than size: insights from a tiered framework for advanced (and nano-enabled) materials," SETAC 2017, November 12-16, 2017,

OPE Member Journal

Dominic Miranda, "Printed Sensors and the Industrial IoT: Sensing Where No Sensor Has Gone Before," OPE Journal, November 21, 2017, pp. Unnumbered

Chip Scale Review

Ramachandran K. Truchur, Tony D. Flaim, "Evolution of Temporary Bonding Technology to Address Challenges in Advanced Semiconductor Packaging Applications," Chip Scale Review, October 2017,

IMAPS 2017

Cristina Matos-Perez, Deborah Blumenshine, Lisa Kirchner, Tony Flaim, Rama Puligadda, "Ablative Laser Patterning of Polymeric Dielectric Materials," IMAPS Symposium 2017, October 11, 2017,

EDN Magazine

Chris Cox, "Solving Smart Clothing Design Challenges with Printed, Flexible Sensor Technology," EDN Magazine, November 15, 2017, pp. Unnumbered

I3S 2017 - 5th International Symposium on Sensor Science

Louis McCarthy, Alex Johnson, N. Anthony, "Sensor Excitation and Measurement Techniques for CNT Thin-Film Sensors," I3S 2017 - 5th International Symposium on Sensor Science, September 29, 2017,

3rd International DSA Symposium

Mary Ann Hockey, "Easing DSA process Requirements for High-Throughput Manufacturing," 3rd International DSA Symposium, September 18, 2017,

FFEM Advanced Lithography Workshop

Joyce Lowes, Reuben Chacko, Jinhu Dai, Douglas Guerrero, "Surface Modification and Selective Deposition," FFEM Advanced Lithography Workshop, September 12-15, 2017,

FFEM Advanced Lithography Workshop

Jonathan Jeanueau, "Advanced Planarization," FFEM Advanced Lithography Workshop, September 14, 2017,

IWLPC 2017

Xiao Liu, Qi Wu, Jayson Cooper, Kuo Han, Dongshun Bai, Matt Koch, Rama Puligadda, Tony Flaim, "The Thermocure System As a Technical Enabler For Wafer-Level Packaging Applications," IWLPC, October 24-26, 2017,

IEEE

Qi Wu, Xiao Liu, Kuo Han, Dongshun Bai, and Tony Flaim, "Temporary Bonding and Debonding Technologies for Fan-out Wafer-Level Packaging," 3DInCites (IEEE), August 2017,

SEMICON Taiwan

James Lamb III, "Extending Lithography with Advanced Materials and Manufacturing Excellence," SEMICON Taiwan, September 13-16, 2017,

SEMICON Taiwan

Tony Flaim, "New Enabling Materials for Fan-out Wafer-Level Packaging," SEMICON Taiwan, September 13-16, 2017,

Solid State Technology Magazine

Douglas Guerrero, "DSA and EUV: Complementary Technologies to Enable Fine-Pitch Lithography," Solid State Technology Magazine, July 2017, pp. Unnumbered

ACS National Meeting

Gu Xu, "Gelatin Mediated Polymerization of Styrene in Emulsion-Based Gels," ACS National Meeting, August 20-24 20-24, 2017,

Chip Scale Review

Ramachandran K. Truchur, Tony D. Flaim, "Process Challenges for Temporary Bonding Materials in Fan-out Packaging," 2017 Chip Scale Review, May/June 2017, pp. 14-19

Flex 2017

Austin Shearin, "Highly Near-Infrared-Sensitive, Printed Flexible Thermistors," Flex 2017, June 19-22, 2017,

CSMantech 2016

Ramachandran K. Trichur, Molly Hladik, Jayson M. Cooper, "Temporary Bonding for Backside Processing of 150-mm SiC Wafers," CSMantech Online Digest, May 16-19, 2016, pp. 113

Sensors Midwest

Alex Bruce Johnson, "Mechanism and Application of Resistive Flex Sensors," Sensors Midwest, September 28, 2016,

SEMICON Taiwan

Darron Jurajda, "DSA: How far have we come and how much further is left to go?," SEMICON Taiwan, September 7-9, 2016,

SPIE (Advances in Patterning Materials and Processes XXXIII)

X. Chevalier, P. Coupillaud, G. Lombard, C. Nicolet, J. Beausoleil, G. Fleury, M. Zelsmann, P. Bezard, G. Cunge, J. Berron, K. Sakavuyi, A. Gharbi, R. Tiron, G. Hadziioannou, C. Navarro, I. Cayrefourcq, "Design of New Block Copolymer Systems to Achieve Thick Films with Defect-Free Structures for Applications of DSA into Lithographic Large Nodes," SPIE, March 25, 2016,

IMPACT 2016

Alvin Lee, Jay Su, Xiao Liu, Yu-Po Hung, Yu-Min Lin, Shin-Yi Huang, Ren-Shin Cheng, Tao-Chih Chang, "Temporary Bonding and Debonding Technologies to Enable Innovative Fan-Out Embedded Interposer for High-Density Applications," IMPACT, October 26-28, 2016,

Electronic Components and Technology Conference (ECTC)

Alain Phommahaxay, Goedele Potoms, Greet Verbinnen, Erik Sleeckx, Gerald Beyer, Eric Beyne, Alice Gurrero, Dongshun Bai, Xiao Liu, Kim Yess, Kim Arnold, Walter Spiess, Tim Griesbach, Thomas Rapps, and Stefan Lutter, "Extremely Low-Force Debonding of Thinned CMOS Substrate by Laser Release of Temporarry Bonding Material," Electronic Components and Technology Conference (ECTC), 2016 IEEE 66th, May 31, 2016,

IMAPS 2016 - 49th International Symposium on Microelectronics

Alvin Lee, Jay Su, Baron Huang, Ram Trichr, Dongshun Bai, Xiao Liu, "Optimization of laser release layer, glass carrier, and organic build-up layer to enable RDL-first-fan-out wafer-level packaging," IMAPS 2016 - 49th International Symposium on Microelectronics, October 10-13, 2016,

Chip Scale Review

Ramachandran K. Trichur, Tony D. Flaim, "Evolution of temporary bonding technology for advacned semiconductor packaging," Chip Scale Review, September/October 2016, pp. 12-18

IMAPS 2016 - 49th International Symposium on Microelectronics

Xiao Liu, Qi Wu, Dongshun Bai, Trevor Stanley, Alvin Lee, Jay Su, Baron Huang, "Temporary Wafer Bonding Materials with Mechanical and Laser Debonding Technologies for Semiconductor Device Processing," IMAPS 2016 - 49th International Symposium on Microelectronics, October 10-13, 2016,

Semiconductor Technology International Conference (CSTIC)

Zhimin Zhu, Joyce Lowes, Vandana Krishnamurthy, Dan Sullivan, "Investigation of chemical effects in lithography," Semiconductor Technology International Conference (CSTIC), March 13-14, 2016,

Temporary Bonding for Backside Processing of 150-mm SiC Wafers

Ramachandran K. Trichur, Molly Hladik, and Jayson M. Cooper, "CSMantech 2016 ," May 16-19, 2016, pp. 113

Proceedings of the IEEE Electronic Components and Technology Conference (ECTC)

Anne Jourdain, Alain Phommahaxay, Dimitrios Velenis, Alice Guerrero, Dongshun Bai, Kim Yess, Kim Arnold, Andy Miller, Kenneth Rebibis, Gerald Byer, Eric Beyne, "Single-release-layer process for temporary bonding applications in the 3D integration area," Proceedings of the IEEE Electronic Components and Technology Conference (ECTC), 2015, pp. 893-898

2015 China Semiconductor Technology International Conference (CSTIC)

Amanda Riojas , Vandana Krishnamurthy , Joyce Lowes , Zhimin Zhu, "High-fidelity lithography," 2015 China Semiconductor Technology International Conference (CSTIC), March 15-16, 2015, pp. [unnumbered]

2015 CS MANTECH Online Digest

Aric Shorey, Molly Hladik, "Improvements in processing - carrier and material impacts," 2015 CS MANTECH Online Digest, May 18-21, 2015, pp. 81-84, paper 4.5

Chip Scale Review

Ramachandran K. Trichur , Tony D. Flaim, "Temporary bonding and thin wafer handling strategies for semiconductor device processing," Chip Scale Review, November-December 2015, pp. 38-41

IEEE Electronic Components & Technology Conference (ECTC)

Wen-Wei Shen , Hsiang-Hung Chang , Jen-Chun Wang , Cheng-Ta Ko , Leon Tsai , Bor Kai Wang , Aric Shorey , Alvin Lee , Jay Su , Dongshun Bai , Baron Huang , Wei-Chung Lo , Kuan-Neng Chen, "Ultrathin glass wafer lamination and laser debonding to enable glass interposer fabrication," IEEE Electronic Components & Technology Conference (ECTC), 2015, pp. 1652-1657

IMAPS International Symposium on Microelectronics, Fall 2015

Ren-Shin Cheng , Shin-Yu Huang , Yu-Min Lin , Yin-Po Hung , Xiao Liu , Jay Su , Alvin Lee , Tao-Chih Chang, "Temporary bonding and debonding technologies to enable innovative fan-out embedded interposer for high-density applications," IMAPS International Symposium on Microelectronics, Fall 2015, October 26-29, 2015, pp. 1-6

IMAPS 47th International Symposium on Microelectronics

Hsiang-Hung Chang , Chun-Hsien Chien , Wen-Wei Shen , Aric Shorey , Leon Tsai , Bor Kai Wang , Dongshun Bai , Kim Arnold , Jay Su , Alvin Lee , Jen-Chun Wang, "A novel thin wafer handling technology to enable cost-effective fabrication of through-glass-via interposers," IMAPS 47th International Symposium on Microelectronics, 2014, pp. [paper WP44]

ECS Transactions

Dan Sullivan , Brian Smith , John Berron , Joyce Lowes , Zhimin Zhu, "Spin-coating defect theory and experiments," ECS Transactions, 2014, pp. 293-302

Proceedings of SPIE

Douglas J. Guerrero, "Extending lithography with advanced materials," Proceedings of SPIE, 2014, pp. 905114-1 - 905114-10

Proceedings of SPIE

Douglas J. Guerrero , Yubao Wang , Kui Xu , Mary Ann Hockey , Eric Calderas, "Streamlined etch integration with a unique neutral layer for self-assembled block copolymers (BCPs)," Proceedings of SPIE, 2014, pp. 90492A-1 - 90492A-7

Proceedings of SPIE

K. Nafus , Y. Takashi , K. Shinichiro , M. Muramatsu , D. Parnell , T. Seo , D.J. Guerrero , N. Vandenbroeck , S. Demuynck , R. Gronheid , T.R. Younkin , A. Romo-Negreira , M.H. Somervell, "Evaluation of integration schemes for contact-hole grapho-epitaxy DSA: A study of substrate and template affinity control," Proceedings of SPIE, 2014, pp. 90491L-1 - 90491L-11

Nanotech 2014

Stephen Gibbons , Dan Janzen , Mark Chappell , Jeffery Steevens , Doyle Edwards , Wu-Sheng Shih, "Outwitting the uncertainty of nanotechnology risks through environmental life cycle assessment," Nanotech 2014, 2014, pp. 113-114

Nanotech 2014

Dan Janzen , Kathryn Kremer , Kay Mangelson , Yongqing Jiang , Ram Trichur , Stephen Gibbons , James E. Lamb III, "Advancements in microelectronics-grade carbon nanotube materials for NRAM® device manufacture and analysis of carbon nanotube mass in end user devices," Nanotech 2014, 2014, pp. 194-197

Nanotech 2014

Stephen Gibbons , Jacqueline Garrison , Joshua Alford , Christopher W. Landorf , Wu-Sheng Shih , Benjamin J. Leever , John D. Berrigan, "Extremely flexible and stretchable carbon nanotube composites for conformal electronic devices," Nanotech 2014, 2014, pp. 340-343

Nanotech 2014

Vijaya Kayastha , Stephen Gibbons , Ryan Giedd, "Ultrafast integrated humidity and temperature sensor based on carbon nanotubes, and a sensor controller system," Nanotech 2014, 2014, pp. 1-4

25th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)

Dan Janzen , Kathryn Kremer , Kay Mangelson , Yongqing Jiang , Stephen Gibbons , James E. Lamb III , John Bledsoe , Mathew Boeser, "Advancement of microelectronics-grade carbon nanotube materials for NRAM® device manufacture," 25th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), 2014, pp. 125-129

IEEE Electronic Components and Technology Conference (ECTC)

Kim Arnold , Mark Privett , Susan Bailey , Alice Guerrero , Greet Verbinnen , Alain Phommahaxay , Anne Jourdain , Andy Miller , Kenneth Rebibis , Gerald Beyer , Eric Beyne, "Temporary bonding for high-topography applications: Spin-on material versus dry film," IEEE Electronic Components and Technology Conference (ECTC), 2014, pp. 894-898

IEEE Electronics Packaging Technology Conference (EPTC)

Alvin Lee , Jay Su , H.H. Chang , C.H. Chien , Bor Kai Wang , Leon Tsai , Aric Shorey, "Optimization for temporary bonding process in PECVD passivated micro-bumping technology," IEEE Electronics Packaging Technology Conference (EPTC), 2013, pp. 678-681

CS MANTECH Online Digest

Mark Privett , Alex Smith , Martin Ivie , Jan Campbell , Roberta Hawkins , Pavan Bhatia , Gary Brand, "Meeting the fabrication challenges for backside processing on thin substrates with ultrahigh device topography," CS MANTECH Online Digest, May 13-16, 2013, pp. 51-54

IEEE Electronic Components and Technology Conference (ECTC)

Jason Neidrich , Mark Privett , Jeremy McCutcheon , Alice Guerrero , Kenneth Rebibis , Andy Miller , Gayle Murdoch , Greet Verbinnen , Alain Phommahaxay , Anne Jourdain , Gerald Beyer , Eric Beyne, "Integration and manufacturing aspects of moving from WaferBOND HT-10.10 to ZoneBOND material in temporary wafer bonding and debonding for 3D applications," IEEE Electronic Components and Technology Conference (ECTC), May 28-31, 2013, pp. 113-117

ECS Transactions

Zhimin Zhu , Michael Weigand , Vandana Krishnamurthy , Daniel Sullivan, "Robust trilayer patterning technique," ECS Transactions, 2013, pp. 251-257

Chip Scale Review

Michelle Fowler, "Bonding material properties from a 3D IC perspective," Chip Scale Review, September-October 2013, pp. 30-33

Nanotech 2013

Christopher Landorf , Wu-Sheng Shih , Carissa Jones , Vijaya Kayastha, "Functionalized carbon nanotube–based sensors for detecting a trace quantity of 2,4-dinitrotoluene explosive vapors, with selectivity," Nanotech 2013, 2013, pp. 268-271

Nanotech 2013

Liyong Diao , James Lamb , Stephen Gibbons , Wu-Sheng Shih , Ryan Giedd, "Decoupled temperature and moisture sensor made of CNT-based nanomaterials on flexible plastic substrates," Nanotech 2013, 2013, pp. 84-87

Journal of Applied Physics

Yunfeng Ling , Guiru Gu , Runyu Liu , Xuejun Lu , Vijaya Kayastha , Carissa S. Jones , Wu-Sheng Shih , Daniel C. Janzen, "Investigation of the humidity-dependent conductance of single-walled carbon nanotube networks," Journal of Applied Physics, 2013, pp. 024312-1 - 024312-5

Proceedings of SPIE

Sean Simmons , Douglas Guerrero , Qin Lin , Yubao Wang , Vandana Krishnamurthy , Michael Weigand , Brandy Carr, "Evaluating spin-on carbon materials at low temperatures for high wiggling resistance," Proceedings of SPIE, 2013, pp. 86850R-1 - 86850R-9

Proceedings of SPIE

Michael Cronin , Nick Brakensiek, "Point-of-use filter membrane selection, start-up, and conditioning for low-defect photolithography coatings," Proceedings of SPIE, 2013, pp. 868228-1 - 868228-9

Proceedings of SPIE

Yubao Wang , Mary Ann Hockey , Douglas Guerrero , Eric Calderas, "Multifunctional hardmask neutral layer for directed self-assembly (DSA) patterning," Proceedings of SPIE, 2013, pp. 86801P-1 - 86801P-9

Proceedings of SPIE

Xavier Thrun , Kang-Hoon Choi , Norbert Hanisch , Christoph Hohle , Katja Steidel , Douglas Guerrero , Thiago Figueiro , Johann W. Bartha, "Effects on electron scattering and resist characteristics using assisting underlayers for e-beam direct write lithography," Proceedings of SPIE, 2013, pp. 86820Z-1 - 86820Z-10

International Wafer-Level Packaging Conference (IWLPC) Proceedings

Gary Brand , Mark Privett , Aaron Jacobs, "Temporary bonding material total thickness variation (TTV)," International Wafer-Level Packaging Conference (IWLPC) Proceedings, November 5-7, 2013, pp. [unnumbered]

Proceedings of SPIE

Nick Brakensiek , Michael Sevegney, "Effects of dispense equipment sequence on process start-up defects," Proceedings of SPIE, 2013, pp. 86822A-1 - 86822A-8

SPIE NanoScience + Engineering 2012

Mary Ann Hockey, Qin Lin, Eric Calderas, "The effectiveness of metal oxide nanocrystal-enhanced polymers as hardmasks for photolithography," SPIE NanoScience + Engineering, 2012, October 15, 2012,

IEEE Electronics Packaging Technology Conference (EPTC)

Bor Kai Wang, Jeremy McCutcheon, Jay Su, Alvin Lee, Leon Tsai, Aric Shorey, "Optimization of temporary bonding through high-resolution metrologies to realize ultrathin wafer handling," IEEE Electronics Packaging Technology Conference (EPTC), 2012, pp. 322-325

International Microsystems, Packaging, Assembly, and Circuits Technology Conference (IMPACT)

Bor Kai Wang, Leon Tsai, Aric Shorey, Alvin Lee, Jay Su, Jeremy McCutcheon, "Metrologies for characterization of flatness and thickness uniformity in temporarily bonded wafer stacks," International Microsystems, Packaging, Assembly, and Circuits Technology Conference (IMPACT), 2012, pp. 263-266

3D Integration for VLSI Systems

Mark Privett, "3D technology platform: Temporary bonding and release," 3D Integration for VLSI Systems, 2012, pp. 121-138

ECS Transactions

Tantiboro Ouattara , Carlton Washburn , Aline Collin , Vandana Krishnamurthy , Douglas Guerrero, "Throughput increases using EUV assist layers," ECS Transactions, 2012, pp. 215-218

Proceedings of SPIE

Tantiboro Ouattara , Carlton Washburn , Aline Collin , Vandana Krishnamurthy , Douglas Guerrero , Michael Weigand, "EUV assist layers for use in multilayer processes," Proceedings of SPIE, 2012, pp. 83222E-1 - 83222E-7

Proceedings of SPIE

Mary Ann Hockey , Qin Lin , Eric Calderas, "How much further can lithography process windows be improved?," Proceedings of SPIE, 2012, pp. 83280Q-1 - 83280Q-10

IMAPS International Conference and Exhibition on Device Packaging

Xie Shao , Curt Planje , Michelle Fowler , Dongshun Bai, "High-aspect-ratio planarization using self-leveling materials," IMAPS International Conference and Exhibition on Device Packaging, March 5-8, 2012, pp. 412-415

IEEE International 3D Systems Integration Conference (IEEE 3DIC)

Andy Miller , Gerald Beyer , Bart Swinnen , Alex Van den Eede , Pieter Bex , Rama Puligadda , Jeremy McCutcheon , Alice Guerrero , Walter Spiess , Peter Bisson , Markus Gabriel , Tobias Woitke , Greet Verbinnen , Anne Jourdain , Alain Phommahaxay , Eric Beyne, "Ultrathin wafer handling in 3D stacked IC manufacturing combining a novel ZoneBOND™ temporary bonding process with room temperature peel debonding," IEEE International 3D Systems Integration Conference (IEEE 3DIC), January 31-February 2, 2012, pp. 1-4 (paper 1-2)

Handbook of Wafer Bonding

Rama Puligadda, "Temporary bonding for enabling three-dimensional integration and packaging," Handbook of Wafer Bonding, 2012, pp. 329-345

IMAPS 2012 - 45th International Symposium on Microelectronics

Curtis Planje , Michelle Fowler , Dongshun Bai , Xie Shao, "Planarization of deep structures using self-leveling materials," IMAPS 2012 - 45th International Symposium on Microelectronics, September 9-13, 2012, pp. 79-83

Nanotech 2012

Joseph Demster , Carissa Jones , Vijaya Kayastha , Cory Horner , Mariana Nelson , James Lamb, "Optimization of carbon nanotube thin-film transistor fabrication," Nanotech 2012, 2012, pp. 240-243

Nanotech 2012

Liyong Diao , Wu-Sheng Shih , James E. Lamb III, "Fabrication and characterization of CNT inductors on flexible plastic substrates," Nanotech 2012, 2012, pp. 213-216

Chip Scale Review

Mark Privett, "3D IC thin wafer handling materials requirements," Chip Scale Review, November-December 2012, pp. 24-27

IEEE Electronics Packaging Technology Conference (EPTC)

Jeremy W. McCutcheon , Debbie L. Blumenshine , Alvin Lee, "Advanced processes and materials for temporary wafer bonding," IEEE Electronics Packaging Technology Conference (EPTC), 2011, pp. 744-746

Nanotech 2011: Technical Proceedings of the 2011 NSTI Nanotechnology Conference and Expo

Molly Hladik, "Novel chemistry and dispersive techniques for diverse CNT applications," Nanotech 2011: Technical Proceedings of the 2011 NSTI Nanotechnology Conference and Expo, 2011, pp. 547-549

Nanotech 2011: Technical Proceedings of the 2011 NSTI Nanotechnology Conference and Expo

Stephen Turner, "Advancements in ink-jet deposition of carbon nanotube materials for printed electronics," Nanotech 2011: Technical Proceedings of the 2011 NSTI Nanotechnology Conference and Expo, 2011, pp. 558-561

Proceedings of SPIE

Chris Cox , Sean Simmons , Daniel Sullivan , Zhimin Zhu , Vandana Krishnamurthy , Michael Swope , Randy Bennett , Cheryl Nesbit, "Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography," Proceedings of SPIE, 2011, pp. 79722H-1 - 79722H-7

Proceedings of SPIE

Douglas J. Guerrero , Vandana Krishnamurthy , Daniel M. Sullivan, "BARC surface property matching for negative-tone development of a conventional positive-tone photoresist," Proceedings of SPIE, 2011, pp. 79720Q-1 - 79720Q-7

Proceedings of SPIE

Karl Anderson , Raul Ramirez , John Berron , Jennifer Braggin , Nick Brakensiek , Brian Smith, "Improving material-specific dispense processes for low-defect coatings," Proceedings of SPIE, 2011, pp. 79722Z-1 - 79722Z-7

Proceedings of SPIE

Gary Dabbagh , Mark Slezak , David Torres , Shalini Sharma , Charlyn Stroud , Carlton Washburn , Michael Weigand , Alice Guerrero , Joyce Lowes , Cherry Tang, "Implementation of KrF DBARCs for implant applications on advanced lithography nodes," Proceedings of SPIE, 2011, pp. 797227-1 - 797227-10

ECS Transactions

Daniel Sullivan , David Drain , Zhimin Zhu , Chris Cox , James E. Lamb III, "Foundry efficiency gains through common photolithography themes," ECS Transactions, 2011, pp. 243-248

ECS Transactions

C. Washburn , J.A. Lowes , A. Guerrero, "Use of DBARCs beyond implant," ECS Transactions, 2011, pp. 249-255

Journal of Nanotechnology

Urs Berger , Maggie Yihong Chen , Ray T. Chen , Dan Pham , Harish Subbaraman , Xuliang Han , Nick L. Downing , Vijaya Kayastha , Wu-Sheng Shih , Carissa S. Jones , Xuejun Lu , Puminun Vasinajindakaw , Runyu Liu , Yunfeng Ling , Guiru Gu , Mike Renn, "All-printed thin-film transistor based on purified single-walled carbon nanotubes with linear response," Journal of Nanotechnology, 2011, pp. article ID 823680, 4 pages

IEEE International 3D Systems Integration Conference (3DIC)

Sumant Sood , Dan Wallace , Mark Privett , Alain Phommahaxay , Thibault Buisson , Anne Jourdain , Peter Bisson , Eric Beyne , Youssef Travaly , Bart Swinnen, "300mm wafer thinning and backside passivation compatibility with temporary wafer bonding for 3D stacked IC applications," IEEE International 3D Systems Integration Conference (3DIC), 2010, pp. [unnumbered]

Proceedings of SPIE

Yubao Wang , Zhimin Zhu , Steve McGarvey , Brian Smith , Dan Sullivan, "High-resolution defect metrology for silicon BARC analysis," Proceedings of SPIE, 2010, pp. 763824-1 - 763824-8

Proceedings of SPIE

Ramil-Marcelo L. Mercado , Carlton Washburn , Anwei Qin , Brandy Carr , Charlyn Stroud , Joyce A. Lowes , Alice Guerrero , Jim D. Meador, "Photoresist-induced development behavior in DBARCs," Proceedings of SPIE, 2010, pp. 763926-1 - 763926-10

Proceedings of SPIE

Brian Smith , Marc Weimer , April Evers , Brandy Carr , Jeff Leith, "Minimizing the outgassing of spin-coated organic materials to reduce defects," Proceedings of SPIE, 2010, pp. 763823-1 - 763823-7

Proceedings of SPIE

Jennifer Braggin , Nick Brakensiek , John Berron , Karl Anderson , Aiwen Wu , Raul Ramirez , Brian Smith , Carlton Washburn, "Improving material-specific dispense processes for low-defect coatings," Proceedings of SPIE, 2010, pp. 763929-1 - 763929-6

ECS Transactions

D.J. Guerrero , D.M. Sullivan , Z. Zhu , R.L. Mercado, "Simulation and experimental study on multilayer double-patterning processes," ECS Transactions, 2010, pp. 473-378

ECS Transactions

J. Lowes , C. Stroud , A. Guerrero , C. Washburn , P. Raj, "Comparative study of photosensitive versus non-photosensitive developer-soluble bottom anti-reflective coating systems," ECS Transactions, 2010, pp. 503-508

IMAPS Device Packaging Conference

Daniel J. Vestyck , Xie Shao , Kimberly Yess , Shelly Fowler , Ramachandran K. Trichur , Curt Planje , Tingji Tang, "Novel polymeric protective coatings for hydrofluoric acid vapor etching during MEMS release etch," IMAPS Device Packaging Conference, March 8-10, 2010, pp. 000076-000080

IMAPS 2010 - 43rd International Symposium on Microelectronics

Jeremy McCutcheon , Dongshun Bai, "Advanced thin wafer support processes for temporary wafer bonding," IMAPS 2010 - 43rd International Symposium on Microelectronics, 2010, pp. 361-363

Journal of Microelectronics and Electronic Packaging

JoElle Dachsteiner , Robert Brown , Jeremy McCutcheon, "ZoneBOND thin wafer support process for wafer bonding applications," Journal of Microelectronics and Electronic Packaging, 2010, pp. 138-142

International Symposium on Spectral Sensing Research (ISSSR)

Vijaya K. Kayastha , Carissa S. Jones , Wu-Sheng Shih , Michael J. Renn, "Next-generation sensing material and device printing technology for sensor applications," International Symposium on Spectral Sensing Research (ISSSR), June 21-24, 2010, pp. [unnumbered]

Microelectronic Engineering

Mike Stroder , Mike Renn , Xuejun Lu , Carissa S. Jones , Wu-Sheng Shih, "Aerosol-jet-printed, high-speed, flexible thin-film transistor made using single-walled carbon nanotube solution," Microelectronic Engineering, 2010, pp. 434-437

Proceedings of SPIE

Ramil-Marcelo L. Mercado , Ferdinand Rosas , Charlyn Stroud , Jim Meador , Victor Pham , Joyce Lowes , Mark Slezak, "Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications," Proceedings of SPIE, 2010, pp. 76390K-1 - 76390K-11

59th Electronic Components and Technology Conference (IEEE ECTC)

J. Charbonnier , S. Cheramy , D. Henry , A. Astier , J. Brun , N. Sillon , A. Jouve , S. Fowler , M. Privett , R. Puligadda , J. Burggraf , S. Pargfrieder, "Integration of a temporary carrier in a TSV process flow," 59th Electronic Components and Technology Conference (IEEE ECTC), May 26-29, 2009, pp. 865-871

Proceedings of SPIE

Ke Min , Todd R. Younkin , James M. Blackwell , Hao Xu, "Underlayer designs to enhance the performance of EUV resists," Proceedings of SPIE, 2009, pp. 72731J-1 - 72731J-11

Proceedings of SPIE

Mark Slezak , Victor Pham , Ramil-Marcelo L. Mercado , Yilin Qiu , Sherilyn Thomas , Charlyn Stroud , Joyce A. Lowes , Jim D. Meador, "Improving the performance of light-sensitive developer-soluble anti-reflective coatings by using adamantyl terpolymers," Proceedings of SPIE, 2009, pp. 727312-1 - 727312-9

Proceedings of SPIE

Paolo Piacentini , Paolo Piazza , Zhimin Zhu , Danilo De Simone , Jonathan Jeauneau , Enrico Tenaglia , Darron Jurajda , Paolo Canestrari, "Investigation of the foot-exposure impact in hyper-NA immersion lithography when using thin anti-reflective coating," Proceedings of SPIE, 2009, pp. 72730Z-1 - 72730Z-10

Proceedings of SPIE

Michael Weigand , Zhimin Zhu , Chris James , Brian Smith , Charles J. Neef, "Effects of carbon/hardmask interactions on hardmask performance," Proceedings of SPIE, 2009, pp. 727311-1 - 727311-7

Proceedings of SPIE

Charles J. Neef , Jan Macie , Yubao Wang , Emil Piscani , Zhimin Zhu , Brian Smith, "Thin hardmask patterning stacks for the 22-nm node," Proceedings of SPIE, 2009, pp. 72742K-1 - 72742K-7

ECS Transactions

Chris Lypka , Daniel Burgstaller , Jurgen Burggraf , Rama Puligadda , Xing-Fu Zhong , Dongshun Bai , James Verzosa, "Edge protection of temporarily bonded wafers during backgrinding," ECS Transactions, 2009, pp. 757-762

ECS Transactions

April Evers , Carlton Washburn , Brian Smith, "Residue testing of developer-soluble bottom anti-reflective coatings," ECS Transactions, 2009, pp. 419-425

Solid State Technology

B. Smith , Z. Zhu , D. Miranda , J. Macie, "Optimizing lithographic stack materials when using hyper-NA exposure tools," Solid State Technology, January 2009, pp. [online]

Journal of Photopolymer Science and Technology

Ramil Mercado , Hao Xu , Douglas J. Guerrero , James Blackwell, "Underlayer designs to enhance EUV resist performance," Journal of Photopolymer Science and Technology, 2009, pp. 117-122

Solid State Technology

Simon Heghoyan , Frank Kahlenberg , Frank Richter , Björn Eggenstein , Michael Kiene , Johann Steinmetz , Thomas Werner , Darron Jurajda , Daniel Sullivan, "Using soluble gap-fill materials in VFTL integration," Solid State Technology, August 2009, pp. [online]

Polymer Preprints

Rama Puligadda , Qin Lin , William H. Heath , Takeo Suga , Stephen M. June , Timothy E. Long, "Photoactive poly(siloxane imides) as high performance structural adhesives with tailorable interfacial strength," Polymer Preprints, 2009, pp. 841-842

Polymer Preprints

Rama Puligadda , Qin Lin , William H. Heath , Takeo Suga , Stephen M. June , Timothy E. Long, "2-Nitro-p-xylene glycol as a functional monomer for photoreleasable adhesion," Polymer Preprints, 2009, pp. 424-425

IEEE Conference on 3D System Integration

A. Jouve , W. Hong , D. Blumenshine , J. Dachsteiner , R. Puligadda , D. Bai , J. Diaz , D. Henry, "Material improvement for ultrathin-wafer handling in TSV creation and PECVD process," IEEE Conference on 3D System Integration, September 28-30, 2009, pp. unnumbered

Proceedings of SPIE

Ramil-Marcelo L. Mercado , Daniel M. Sullivan , Douglas J. Guerrero, "Resist double patterning on BARCs and spin-on multilayer materials," Proceedings of SPIE, 2009, pp. 75200M-1 - 75200M-8

Applied Physics Letters

Carissa S. Jones , Wu-Sheng Shih , Daniel C. Janzen , Xuliang Han , Xuejen Lu , Haitao Xia , Puminun Vasinajinakaw , Haiyan Zhang , Jarrod Vaillancourt , Mike Stroder , Maggie Yihong Chen , Harish Subbaraman , Ray T. Chen , Urs Berger , Mike Renn, "All ink-jet-printed carbon nanotube thin-film transistor on a polyimide substrate with an ultrahigh operating frequency of over 5 GHz," Applied Physics Letters, 2008, pp. 243301-1 - 243301-3

Proceedings of SPIE

Ramil-Marcelo L. Mercado , Hao Xu , Joyce A. Lowes , Jim D. Meador , Douglas J. Guerrero, "Acid-degradable hyperbranched polymer and its application in bottom anti-reflective coatings," Proceedings of SPIE, 2008, pp. 71402W-1 - 71402W-11

10th IEEE Electronics Packaging Technology Conference (EPTC)

A. Jouve , S. Fowler , M. Privett , R. Puligadda , D. Henry , A. Astier , J. Brun , M. Zussy , N. Sillon , J. Burggraf , S. Pargfrieder, "Facilitating ultrathin wafer handling for TSV processing," 10th IEEE Electronics Packaging Technology Conference (EPTC), 2008, pp. 45-50

Proceedings of SPIE

Ramil Mercado , Joyce Lowes , Steve Gibbons , Douglas J. Guerrero, "Anti-reflective coating for multipatterning lithography," Proceedings of SPIE, 2008, pp. 69230X-1 - 69230X-7

Proceedings of SPIE

Anwei Qin , Brian A. Smith , Sam X. Sun, "Wet trimming process for critical dimension reduction," Proceedings of SPIE, 2008, pp. 692336-1 - 692336-11

Proceedings of SPIE

Brian Smith , Emil Piscani , Kevin Edwards , Zhimin Zhu, "Reflection control in hyper-NA immersion lithography," Proceedings of SPIE, 2008, pp. 69244A-1 - 69244A-7

Journal of Photopolymer Science and Technology

Douglas J. Guerrero , Carol Beaman , Rikimaru Sakamoto , Takafumi Endo , Bang-Ching Ho, "Organic underlayers for EUV lithography," Journal of Photopolymer Science and Technology, 2008, pp. 451-455

Polymer

Gu Xu , Frank D. Blum, "Surfactant-enhanced free radical polymerization of styrene in emulsion gels," Polymer, 2008, pp. 3233-3238

Solid State Technology

Stefan Pargfrieder , Bioh Kim , James Lamb, "Temporary bonding/debonding for ultrathin substrates," Solid State Technology, 2008,

Proceedings of SPIE

Xuliang Han , Xuejun Lu , Jarrod Vaillancourt , Daniel C. Janzen , Wu-Sheng Shih, "High-speed transparent flexible electronics," Proceedings of SPIE, 2008, pp. 69403A-1 - 69403A-6

International Wafer-Level Packaging Conference (IWLPC) Proceedings

Jeremy McCutcheon , Louis McCarthy , JoElle Dachsteiner, "NIR imaging of bond integrity for wafer bonding applications," International Wafer-Level Packaging Conference (IWLPC) Proceedings, October 13-16, 2008, pp. 113-116

International Wafer-Level Packaging Conference (IWLPC) Proceedings

Mark Privett , Franz Murauer , Jürgen Burggraf , Stefan Pargfrieder , Chad Brubaker, "TSV thinned wafer debonding process optimization," International Wafer-Level Packaging Conference (IWLPC) Proceedings, October 13-16, 2008, pp. 144-148

International Wafer-Level Packaging Conference (IWLPC) Proceedings

Shelly Fowler , Chad Brubaker , John Romain, "Non-destructive method for evaluation of thin wafer edge protection," International Wafer-Level Packaging Conference (IWLPC) Proceedings, October 13-16, 2008, pp. unnumbered

IMAPS Device Packaging Conference

J. Dalvi-Malhotra , X.F. Zhong , C. Planje , K. Yess, "Application of advanced photosensitive etch protection coating," IMAPS Device Packaging Conference, March 17-20, 2008, pp. unnumbered

Proceedings of SMTA International Conference and Exhibition

Ramachandran K. Trichur , Xie Shao, "A photosensitive, spin-applied masking material for through-silicon via formation for wafer-level packaging," Proceedings of SMTA International Conference and Exhibition, August 17-21, 2008, pp. unnumbered

IMAPS 2008: Proceedings of the International Microelectronics and Packaging Society 41st International Symposium on Microelectronics

Amadine Jouve , JoElle Dachsteiner , Wenbin Hong , Dongshun Bai , Rama Puligadda , Chad Brubaker , Tian Tang, "Temporary wafer bonding materials with adjustable debonding properties for use in high-temperature processing," IMAPS 2008: Proceedings of the International Microelectronics and Packaging Society 41st International Symposium on Microelectronics, 2008, pp. 222-227

[Newsletter of] The Technical Association of Photopolymers, Japan

Douglas J. Guerrero, "Anti-reflective coatings: From ground breaking concept to mature industry," [Newsletter of] The Technical Association of Photopolymers, Japan, 2008, pp. 1-3

Journal of Micromechanics and Microengineering

K. Yess , G. Brand , C. Planje , J. Dalvi-Malhotra , X.F. Zhong, "A spin-on photosensitive polymeric etch protection mask for anisotropic wet etching of silicon," Journal of Micromechanics and Microengineering, 2008, pp. 025029-1 - 025029-8

Journal of the Electrochemical Society

Wu-Sheng Shih , Jiro Yota , Ketan Itchhaporia, "CON-TACT planarization process of spin-on dielectrics for device fabrication," Journal of the Electrochemical Society, 2008, pp. G65-G71

Future Fab International

Rama Puligadda , Doyle Edwards, "High-performance adhesives facilitate ultrathin wafer handling," Future Fab International, 2008, pp. 107-110

ISTC2008, Proceedings of the 7th International Conference on Semiconductor Technology

Joyce Lowes , Ramil Mercado , Jim Meador , Chris Cox , Douglas Guerrero, "Novel developer-soluble anti-reflective coatings for 248-nm lithography," ISTC2008, Proceedings of the 7th International Conference on Semiconductor Technology, 2008, pp. 255-259

Proceedings of SPIE

J. Dalvi-Malhotra , X.F. Zhong , C. Planje, "Photosensitive etch protection coating for silicon wet-etch applications," Proceedings of SPIE, 2008, pp. 68840J-1 - 68840J-8

Proceedings of SPIE

David Drain , Ramil-Marcelo L. Mercado , Douglas J. Guerrero , Zhimin Zhu , Joyce A. Lowes , Charlyn Stroud , Carol Beaman , Jim D. Meador, "Dual-layer dye-filled developer-soluble BARCs for 193-nm lithography," Proceedings of SPIE, 2008, pp. 69232W-1 - 69232W-11

Proceedings of SPIE

Runhui Huang , Michael Weigand, "Plasma etch properties of organic BARCs," Proceedings of SPIE, 2008, pp. 69232G-1 - 69232G-9

Proceedings of SPIE

Michael Weigand , Cheryl Nesbit , Jim Finazzo , Charles J. Neef, "Effects of bake temperature and surface modifications on hardmask materials for trilayer applications," Proceedings of SPIE, 2008, pp. 692331-1 - 692331-9

Applied Surface Science

Douglas J. Guerrero , Wen-Li Wu , Eric K. Lin , Sharadha Sambasivan , Daniel Fischer , Joseph L. Lenhart , Yubao Wang , Rama Puligadda, "Understanding deviations in lithographic patterns near interfaces: Characterization of bottom anti-reflective coatings (BARC) and the BARC-resist interface," Applied Surface Science, 2007, pp. 4166-4175

Journal of Photopolymer Science and Technology

Douglas J. Guerrero , Joyce Lowes , Ramil Mercado, "Organic monolayer determination on semiconductor substrates," Journal of Photopolymer Science and Technology, 2007, pp. 339-343

Technical Digest

Ketan Itchhaporia , Hao Ly , Jiro Yota , Wu-Sheng Shih , Alex Smith, "Planarization process for transparent polyimide coatings to reduce topography and overburden variation," Technical Digest, May 14-17, 2007, pp. 195-198

ECS Transactions

Ketan Itchhaporia , Jiro Yota , Wu-Sheng Shih, "CON-TACT® planarization process of spin-on dielectrics for device fabrication," ECS Transactions, 2007, pp. 501-522

Technical Digest

M. Wimplinger , C. Brubaker , T. Matthias , W. Hong , R. Puligadda , A. Smith , S. Pargfrieder, "High temperature–resistant spin-on adhesive for temporary wafer mounting using an automated high-throughput tooling solution," Technical Digest, May 14-17, 2007, pp. 29-32

International Wafer-Level Packaging Conference (IWLPC) Proceedings

Ramachandran K. Trichur , Xie Shao, "A photosensitive, spin-applied masking material for through-silicon via formation for wafer-level packaging," International Wafer-Level Packaging Conference (IWLPC) Proceedings, September 17-19, 2007,

Micro & Nano Letters

Xuliang Han , Daniel C. Janzen , Jarrod Vaillancourt , Xuejun Lu, "Printable high-speed thin-film transistor on flexible substrate using carbon nanotube solution," Micro & Nano Letters, 2007, pp. 96-98

IMAPS 2007: Proceedings of the International Microelectronics and Packaging Society 40th International Symposium on Microelectronics

Wenbin Hong , Rama Puligadda , Alex Smith , Dongshun Bai , Stefan Pargfrieder , Chad Brubaker , Sarah Pfeiffer, "High-temperature adhesives for temporary wafer bonding using a sliding approach," IMAPS 2007: Proceedings of the International Microelectronics and Packaging Society 40th International Symposium on Microelectronics, November 11-15, 2007, pp. 1035-1040

Proceedings of the 7th IEEE International Conference on Nanotechnology

Menxing Ouyang , Mandy L.Y. Sin , Gary C.T. Chow , Wen J. Li , Xuliang Han , Daniel C. Janzen, "DEP-Based Fabrication and Characterization of Electronic-Grade CNTs for Nano-Sensing Applications," Proceedings of the 7th IEEE International Conference on Nanotechnology, August 2-5, 2007,

Proceedings of SPIE

Xuliang Han , Daniel C. Janzen, "Characterization of carbon nanotube thin films formed using electronic-grade carbon nanotube aqueous solutions," Proceedings of SPIE, 2007, pp. 683811-1 - 683811-5

Proceedings of the 1st IEEE Conference on Nano/Molecular Medicine and Engineering (IEEE-NANOMED)

Yanli Qu , Mengxing Ouyang , Wen J. Li , Xuliang Han, "CNTs as ultra-low-powered aqueous flow sensors in PDMS microfluidic systems," Proceedings of the 1st IEEE Conference on Nano/Molecular Medicine and Engineering (IEEE-NANOMED), August 6-9, 2007,

Proceedings of SPIE

Douglas J. Guerrero , Carlton A. Washburn , Joyce A. Lowes , Ramil-Marcelo L. Mercado, "A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography," Proceedings of SPIE, 2007, pp. 65192X-1 - 65192X-10

Proceedings of SPIE

James Claypool , Charles J. Neef , Yubao Wang , Marc Weimer , Kevin Edwards , Zhimin Zhu, "Materials for and performance of multilayer lithographic schemes," Proceedings of SPIE, 2007, pp. 65192S-1 - 65192S-8

Proceedings of SPIE

Shannon Brown , Anwei Qin , Dan Sullivan , Runhui Huang, "Advanced developer-soluble gap-fill materials and applications," Proceedings of SPIE, 2007, pp. 65192T-1 - 65192T-8

Proceedings of SPIE

Stephen Turner, "Rework/stripping of multilayer materials for FEOL and BEOL integration using single wafer tool techniques," Proceedings of SPIE, 2007, pp. 65192Q-1 - 65192Q-9

Proceedings of SPIE

Deborah Thomas , Charles J. Neef, "A novel 248-nm wet-developable BARC for trench applications," Proceedings of SPIE, 2007, pp. 65192Z-1 - 65192Z-8

Proceedings of SPIE

X.-F. Zhong , G.J. Brand , J. Dalvi-Malhotra, "Use of silane-based primer on silicon wafers to enhance adhesion of edge-protective coatings during wet etching: Application of the TALON WrapTM process," Proceedings of SPIE, 2007, pp. 64620B-1 - 64620B-7

Materials Research Society Symposium Proceedings

Markus Wimplinger , Chad Brubaker , Wenbin Hong , Sunil Pillalamarri , Rama Puligadda , Stefan Pargfrieder, "High-performance temporary adhesives for wafer bonding applications," Materials Research Society Symposium Proceedings, 2007,

ISTC2007, Proceedings of the 6th International Conference on Semiconductor Technology

Daniel M. Sullivan , Runhui Huang , Shannon Brown , Anwei Qin, "New developer-soluble gap-fill material," ISTC2007, Proceedings of the 6th International Conference on Semiconductor Technology, 2007, pp. 61-70

ISTC2007, Proceedings of the 6th International Conference on Semiconductor Technology

Charles J. Neef , Deborah Thomas, "A new wet-developable BARC for 248-nm applications," ISTC2007, Proceedings of the 6th International Conference on Semiconductor Technology, 2007, pp. 709-714

ISTC2007, Proceedings of the 6th International Conference on Semiconductor Technology

Stefan Pargfrieder , Paul Kettner , Markus Wimplinger , Rama Puligadda, "Ultrathin-wafer processing utilizing temporary bonding and debonding technology," ISTC2007, Proceedings of the 6th International Conference on Semiconductor Technology, 2007, pp. 878-888

Chemical Vapor Deposition

J.J. Senkevich , B.W. Woods , J.J. McMahon , P.-I. Wang, "Thermomechanical properties of Parylene X, a room-temperature chemical vapor depositable crosslinkable polymer," Chemical Vapor Deposition, 2007, pp. 55-59

Commercialization of Micro and Nano Systems Conference (COMS) 2006

Ramachandran K. Trichur , Gary J. Brand, "A method for edge protection of wafers during wet etching by application of TALON™ wrap process," Commercialization of Micro and Nano Systems Conference (COMS) 2006, August 27-31, 2006, pp. unnumbered

Chemical Vapor Deposition

J.J. Senkevich , B.W. Woods , B.P. Carrow , R.D. Geil , B.R. Rogers, "Amorphous highly conjugated chemical-vapor-deposited polymer thin films," Chemical Vapor Deposition, 2006, pp. 285-289

Proceedings of SPIE

Carol Beaman , Joyce Lowes , Carlton Washburn , Ramil Mercado , Mariya Nagatkina , Charlyn Stroud , Jim Meador, "Development of 193-nm wet BARCs for implant applications," Proceedings of SPIE, 2006, pp. 854-863

Proceedings of SPIE

Runhui Huang , Daniel M. Sullivan , Anwei Qin, "New developer-soluble gap-fill material with fast plasma etch rate," Proceedings of SPIE, 2006, pp. 881-888

Journal of Photopolymer Science and Technology

Ramil Mercado , Douglas J. Guerrero , Jim Meador , Carlton Washburn, "Photochemical studies on bottom anti-reflective coatings," Journal of Photopolymer Science and Technology, 2006, pp. 343-347

IEEE-Nano 2006

Xuliang Han, "Characterization of carbonaceous impurity level in as-produced single-walled carbon nanotubes by using solution-phase spectrophotometry," IEEE-Nano 2006, July 17-20, 2006, pp. 636-639

ISTC2006, Proceedings of the 5th International Conference on Semiconductor Technology

Ryan Buschjost , Joe Graber , Mary Ann Hockey , Ed Brandenburg, "Enhancing the performance of conformal i-line bottom anti-reflective coating products," ISTC2006, Proceedings of the 5th International Conference on Semiconductor Technology, 2006, pp. 644-654

Journal of Functional Materials and Devices

Xiang-Long Xing , Ji-Wei Jiao , Mark Daffron , Yue-Lin Wang , Hyung Choi, "Contact planarization of sacrificial photoresist for MEMS application," Journal of Functional Materials and Devices, April 2006, pp. 135-138, 154

Polymeric Materials: Science and Engineering

Jyoti Dalvi-Malhotra, "Environmentally friendly i-line anti-reflective coating with reduced formaldehyde content," Polymeric Materials: Science and Engineering, 2006, pp. 1113-1114

CS MANTECH: 2006 International Conference on Compound Semiconductor Manufacturing Technology Digest of Papers

B. Hosse , J. Moore , A. Smith, "A chemical and thermal resistant wafer bonding adhesive simplifying wafer backside processing," CS MANTECH: 2006 International Conference on Compound Semiconductor Manufacturing Technology Digest of Papers, April 24-27, 2006, pp. 269-271

Journal of Colloid and Interface Science

Gu Xu , Rakesh R. Nambiar , Frank D. Blum, "Room-temperature decomposition of 2,2'-azobis(isobutyronitrile) in emulsion gels with and without silica," Journal of Colloid and Interface Science, 2006, pp. 658-661

Materials, Technology and Reliability of Low-k Dielectrics and Copper Interconnects: Materials Research Society Symposium Proceedings

Jay J. Senkevich , Brad Carrow , Pei-I Wang, "Thermal and dielectric stability of Parylene X," Materials, Technology and Reliability of Low-k Dielectrics and Copper Interconnects: Materials Research Society Symposium Proceedings, 2006, pp. 101-106

Proceedings of the IEEE Nanotechnology Materials and Devices Conference (IEEE-NMDC 2006)

Jarrod Vaillancourt , Daniel C. Janzen , Xuliang Han , Xuejun Lu, "A flexible thin-film transistor with high field-effect mobility by using carbon nanotubes," Proceedings of the IEEE Nanotechnology Materials and Devices Conference (IEEE-NMDC 2006), October 22-25, 2006, pp. 296-297

INTERFACE 2006: Proceedings of the 43rd Microlithography Symposium

Douglas Guerrero , Alice Guerrero , Ramil Mercado , Carlton Washburn , Jim Meador, "Process development for developer-soluble bottom anti-reflective coatings (BARCs)," INTERFACE 2006: Proceedings of the 43rd Microlithography Symposium, October 29-31, 2006,

Solid State Technology

Douglas Guerrero , Ramil Mercado , Carlton Washburn , Jim Meador, "Controlling CD and process window limits for implant patterning," Solid State Technology, October 2006, pp. 53-56

Electronics Letters

D.C. Janzen , X. Han , X. Lu , J. Vaillancourt, "High-speed thin-film transistor on flexible substrate fabricated at room temperature," Electronics Letters, November 9, 2006, pp. 1365-1366

IMAPS 2006: Proceedings of the International Microelectronics and Packaging Society 39th International Symposium on Microelectronics

S. Pillalamarri , R. Puligadda , C. Brubaker , M. Wimplinger , S. Pargfrieder, "High-temperature spin-on adhesives for temporary wafer bonding," IMAPS 2006: Proceedings of the International Microelectronics and Packaging Society 39th International Symposium on Microelectronics, October 8-12, 2006, pp. 105-111

Journal of Adhesion Science and Technology

J.J. Senkevich , G.-R. Yang , C.J. Mitchell, "Adhesion aspects of poly(p-xylylene) to SiO2 surfaces using γ-methacryloxypropyltrimethoxysilane as an adhesion promoter," Journal of Adhesion Science and Technology, October 2006, pp. 1637-1647

Proceedings of the 1st IEEE International Conference on Nano-/Micro-Engineered and Molecular Systems (IEEE-NEMS 2006)

Xuliang Han, "Evaluation of carbonaceous impurities in as-produced single-walled carbon nanotubes by solution-phase spectrophotometry," Proceedings of the 1st IEEE International Conference on Nano-/Micro-Engineered and Molecular Systems (IEEE-NEMS 2006), January 18-21, 2006, pp. 1491-1493

Proceedings of SPIE

JoElle Dachsteiner , Wu-Sheng Shih , Robert Morford, "Press-patterned UV-curable high refractive index coatings," Proceedings of SPIE, 2006, pp. 1-11

Proceedings of SPIE

Runhui Huang, "Study of iso/dense bias of BARCs and gap-fill materials on via wafers," Proceedings of SPIE, 2006, pp. 829-836

Proceedings of SPIE

Nicki Chapman , Charlyn Stroud , Carlton Washburn , Nick Brakensiek , Kevin Edwards , Alice Guerrero, "Wet-recess process optimization of a developer-soluble gap-fill material for planarization of trenches in trench-first dual damascene process," Proceedings of SPIE, 2006, pp. 815-820

Proceedings of SPIE

Tomoyuki Enomoto , Shigeo Kimura , Douglas J. Guerrero , Masakazu Kato , Tamara Smith, "Two-layer anti-reflection strategies for implant applications," Proceedings of SPIE, 2006, pp. 242-249

Proceedings of SPIE

Traci Batchelder , Sean Simmons , Gary Martin , Nickolas L. Brakensiek, "Reducing bottom anti-reflective coating (BARC) defects: Optimizing and decoupling the filtration and dispense process," Proceedings of SPIE, 2006, pp. 874-880

Proceedings of SPIE

Jonathan Mayo , Zhimin Zhu , Nick Brakensiek , Curtis Planje , Chris Cox, "Microlens formation using heavily dyed photoresist in a single step," Proceedings of SPIE, 2006, pp. 1378-1384

Proceedings of the 4th International Conference on Semiconductor Technology (ISTC 2005)

M. Daffron , W.-S. Shih , K. Marler, "CON-TACT® brand planarization and ENSEMBLE* CP dielectric coating: Smoothing out the bumps on the road to 90nm technology and beyond," Proceedings of the 4th International Conference on Semiconductor Technology (ISTC 2005), 2005, pp. 620-629

Materials Research Society Symposium Proceedings

B.W. Woods , R.E. Murray , B.P. Carrow , J.J. Senkevich, "Poly(ethynyl-p-xylylene), an advanced molecular caulk CVD polymer," Materials Research Society Symposium Proceedings, 2005, pp. 189-194

Semiconductor Technology: Proceedings of the 4th International Conference on Semiconductor Technology (ISTC 2005, Shanghai, China)

Charles J. Neef , Kevin Edwards , Anwei Qin , Carlton Washburn , Runhui Huang, "Development and process of low dense/iso bias dual damascene materials," Semiconductor Technology: Proceedings of the 4th International Conference on Semiconductor Technology (ISTC 2005, Shanghai, China), March 15-17, 2005, pp. 138-148

Proceedings of SPIE: Advances in Resist Technology and Processing XXII

James B. Claypool , Marc Weimer , Vandana Krishnamurthy , Wendy Gehoel , Koen van Ingen Schenau, "New advanced BARC materials for ultra-high NA applications," Proceedings of SPIE: Advances in Resist Technology and Processing XXII, 2005, pp. 679-689

Quality Digest

Earnest Murphy, "Customer process inquiry forms work," Quality Digest, August 2005, pp. 56

WaferNEWS

Andy Waite-Wright, "Industry roadmaps must enable rebirth of innovation," WaferNEWS, July 2005, pp. 17-18

Proceedings of the 14th International Conference on Polymer Optical Fiber

Curt Planje , Doug Holmes , Robert Morford , Gary Brand , Zhimin Zhu , Aaron Jacobs, "High refractive index polymer coatings," Proceedings of the 14th International Conference on Polymer Optical Fiber, 2005, pp. 65-69

COMS: 10th International Commercialization of Micro and Nano Systems Conference

Mary Ann Hockey , Alice F. Guerrero, "Process optimization solutions with organic BARC," COMS: 10th International Commercialization of Micro and Nano Systems Conference, August 21-25, 2005, pp. 261-266

INTERFACE 2005: Proceedings of the 42nd Microlithography Symposium

Darron Dippel , Carlton Washburn , Kevin Edwards, "CD uniformity and bias improvement in the via-first dual damascene process using a developer-soluble gap-fill material," INTERFACE 2005: Proceedings of the 42nd Microlithography Symposium, October 23-25, 2005, pp. 27-1 - 27-7

Proceedings of SPIE: Integrated Optics: Devices, Materials, and Technologies IX

Doug Holmes , Udayan Senapati , Wu-Sheng Shih , Rebecca Rich , Ramil Mercado, "Press-patterned diffraction gratings on high refractive index polyimide films," Proceedings of SPIE: Integrated Optics: Devices, Materials, and Technologies IX, 2005, pp. 227-236

Proceedings of SPIE: Organic Photonic Materials and Devices VII

T.D. Flaim , C.E. Planje , R.L. Mercado , R.V. Morford, "High refractive index photocurable resins," Proceedings of SPIE: Organic Photonic Materials and Devices VII, 2005, pp. 34-41

Proceedings of SPIE: Organic Photonic Materials and Devices VII

Curtis Planje , Doug Holmes , Shelly Fowler , Ramil Mercado , Tony Flaim , Yubao Wang, "Hybrid high refractive index polymer coatings," Proceedings of SPIE: Organic Photonic Materials and Devices VII, 2005, pp. 42-49

Proceedings of SPIE: Advances in Resist Technology and Processing XXII

Danielle King , Peng Zhang , Nickolas L. Brakensiek , Craig Ghelli, "Advanced rinse process alternatives for reduction of photolithography development cycle defects," Proceedings of SPIE: Advances in Resist Technology and Processing XXII, 2005, pp. 241-251

Proceedings of SPIE: Advances in Resist Technology and Processing XXII

Heping Wang , Runhui Huang , Anwei Qin, "A new method to characterize conformality of BARC coatings," Proceedings of SPIE: Advances in Resist Technology and Processing XXII, 2005, pp. 627-635

Proceedings of SPIE: Advances in Resist Technology and Processing XXII

Ryan Long , Nickolas L. Brakensiek, "Throughput increase by adjustment of the BARC drying time with coat track process," Proceedings of SPIE: Advances in Resist Technology and Processing XXII, 2005, pp. 1102-1107

Proceedings of SPIE: Advances in Resist Technology and Processing XXII

Larry Wilson , Lynne Mills , Nick Brakensiek , Stephen Turner , Willie Perez , Paul Popa, "Hybrid BARC approaches for FEOL and BEOL integration," Proceedings of SPIE: Advances in Resist Technology and Processing XXII, 2005, pp. 436-448

Semiconductor Manufacturing

Carlton Washburn, "Reducing 300 mm wafer coating defects without compromising uniformity," Semiconductor Manufacturing, May 2005, pp. 34-35

Solid State Technology

Lynne Mills , Stephen Turner, "Applications of spin-on hybrid BARCs for FEOL and BEOL integration," Solid State Technology, June 2005, pp. 75-78

SEMICON West 2004, SEMI Technology Symposium: Innovations in Semiconductor Manufacturing

JoElle Dachsteiner , Rebecca Rich , Wu-Sheng Shih , Mark Daffron , Julie Snook, "The use of CON-TACT(R) brand planarization to improve planarity for shallow trench isolation (STI) applications," SEMICON West 2004, SEMI Technology Symposium: Innovations in Semiconductor Manufacturing, July 14, 2004, pp. 85-92

Nuclear Instruments and Methods in Physics Research B

Y.Q. Wang , M. Curry , E. Tavenner , N. Dobson , R.E. Giedd, "Ion beam modification and analysis of metal/polymer bi-layer thin films," Nuclear Instruments and Methods in Physics Research B, 2004, pp. 798-803

Commercialization of Micro and Nano Systems (COMS) Conference Proceedings

Chenghong Li , Tony Flaim , Kim Ruben , Madison Daily, "ProTEKTM: A KOH protective coating for MEMS bulk micromachining," Commercialization of Micro and Nano Systems (COMS) Conference Proceedings, 2004, pp. 109-113

Spectroscopy

Yoko Kishi , Katsu Kawabata , Haiying Shi , Robert Thomas, "Reduction of Carbon-Based Interferences in Organic Compound Analysis by Dynamic Reaction Cell ICP MS," Spectroscopy, September 2004, pp. 14-23

INTERFACE 2004: Proceedings of the ARCH Chemicals Microlithography Symposium

Mariya Nagatkina , Marc Weimer , Runhui Huang, "A 193-nm Bottom Anti-Reflective Coating with Broad Photoresist Compatibility," INTERFACE 2004: Proceedings of the ARCH Chemicals Microlithography Symposium, September 26-28, 2004, pp. unnumbered

SEMICON China 2004 SEMI Technology Symposium

Yiming Gu , Alice Guerrero , Xie Shao, "Wet-Developable Organic Anti-Reflective Coatings for Implant Layer Applications," SEMICON China 2004 SEMI Technology Symposium, March 17-19, 2004,

SEMICON China 2004 SEMI Technology Symposium

Paul Williams , Kevin Edwards , Nick Brakensiek , Deng Jian Ping, "Advanced process considerations for BARC and gap fill technology in via-first Dual Damascene integration for sub 0.13-u technology," SEMICON China 2004 SEMI Technology Symposium, March 17-19, 2004,

Proceedings of SPIE: Advances in Resist Technology and Processing XXI

Sam Sun , Randy Bennett , Denise Gum , Rama Puligadda , Mariya Nagatkina , Doug Holmes , Jim D. Meador , Tomoyuki Enomoto, "New Materials for 193-nm Trilayer Imaging," Proceedings of SPIE: Advances in Resist Technology and Processing XXI, 2004, pp. 1138-1148

Proceedings of SPIE: Advances in Resist Technology and Processing XXI

Runhui Huang, "Via-fill properties of organic BARCs in dual damascene application," Proceedings of SPIE: Advances in Resist Technology and Processing XXI, 2004, pp. 711-717

Proceedings of SPIE: Advances in Resist Technology and Processing XXI

Joyce Lowes , Rama Puligadda , Liu He , Michael Rich, "Bottom Anti-Reflective Coatings (BARCs) for 157-nm Lithography," Proceedings of SPIE: Advances in Resist Technology and Processing XXI, 2004, pp. 648-654

Proceedings of SPIE: Advances in Resist Technology and Processing XXI

Evan Bryant , Mariya Nagatkina , Vandana Krishnamurthy , Charles J. Neef , Michelle Windsor , Cheryl Nesbit, "New BARC Materials for the 65-nm Node in 193-nm Lithography," Proceedings of SPIE: Advances in Resist Technology and Processing XXI, 2004, pp. 684-688

Proceedings of SPIE: Advances in Resist Technology and Processing XXI

Wu-Sheng Shih , Charles J. Neef , Mark G. Daffron, "A Planarization Process for Multi-Layer Lithography Applications," Proceedings of SPIE: Advances in Resist Technology and Processing XXI, 2004, pp. 664-672

Proceedings of SPIE: Advances in Resist Technology and Processing XXI

Yasushi Sakaida , Satoshi Takei , Carlton Washburn , Kevin Edwards , Mandar Bhave , Yasuyuki Nakajima, "Developer-soluble gap fill materials for patterning metal trenches in via-first dual damascene process," Proceedings of SPIE: Advances in Resist Technology and Processing XXI, 2004, pp. 640-647

Proceedings of SPIE: Advances in Resist Technology and Processing XXI

Carlton Washburn , Brian Kidd , Nickolas L. Brakensiek , Earnie Murphy, "Wet-Recess Process Optimization of a Bottom Antireflective Coating for the Via-First Dual Damascene Scheme," Proceedings of SPIE: Advances in Resist Technology and Processing XXI, 2004, pp. 633-639

Proceedings of SPIE: Advances in Resist Technology and Processing XXI

Isabelle Guilmeau , Alice Guerrero , Vincent Blain , Stephanie Kremer , Vincent Vachellerie , Damien Lenoble , Patricia Nogueira , Sebastien Mougel , Jean-Damien Chapon, "Evaluation of wet-developable KrF organic BARC to improve CD uniformity for implant application," Proceedings of SPIE: Advances in Resist Technology and Processing XXI, 2004, pp. 461-470

Proceedings of the Ninth International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference (CMP-MIC)

Mark Daffron , Wu-Sheng Shih , Rebecca Rich, "The Use of Modified Processes to Reduce Feature Density Effects Observed During Contact Planarization Processes," Proceedings of the Ninth International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference (CMP-MIC), 2004, pp. 377-384

Proceedings of SPIE: Micromachining and Microfabrication Process Technology IX

Kimberly Ruben , Tony Flaim , Chenghong Li, "Polymeric Protective Coatings for MEMS Wet-Etch Processes," Proceedings of SPIE: Micromachining and Microfabrication Process Technology IX, 2004, pp. 212-220

Proceedings of SPIE: Organic Photonic Materials and Devices VI

William DiMenna , Tony Flaim , Yubao Wang , Ramil Mercado , Udayan Senapati, "Thin-film polyetherimides with controlled refractive indices," Proceedings of SPIE: Organic Photonic Materials and Devices VI, 2004, pp. 276-283

Solid State Technology

Yiming Gu , Alice Guerrero , Xie Shao, "Taking the wet-developable route to applying BARC in implant layers," Solid State Technology, June 2004, pp. 61-64

Proceedings of the Eighth International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference (CMP-MIC)

Jeremy W. McCutcheon, "Research on a novel planarization method as an alternative or complement to CMP," Proceedings of the Eighth International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference (CMP-MIC), 2003, pp. 283-290

Proceedings of SPIE: Sensors and Camera Systems for Scientific, Industrial, and Digital Photography Applications IV

Tony Flaim , Bill DiMenna , Douglas J. Guerrero , Ramil Mercado , Sam Sun, "Dyed red, green, and blue photoresist for manufacture of high resolution color filter arrays for image sensors," Proceedings of SPIE: Sensors and Camera Systems for Scientific, Industrial, and Digital Photography Applications IV, 2003, pp. 298-306

Journal of Vacuum Science and Technology B

Erin L. Jablonski , Sharadha Sambasivan , Eric K. Lin , Daniel A. Fischer , Chelladurai Devadoss , Rama Puligadda, "Near edge x-ray absorption fine structure measurements of the interface between bottom antireflective coatings and a model deprotected photoresist," Journal of Vacuum Science and Technology B, November-December 2003, pp. 3153-3156

Proceedings of SPIE: Optical Systems Design 2003

Ramil Mercado , Yubao Wang , Tony Flaim, "High Refractive Index Polymer Coatings for Optoelectronics Applications," Proceedings of SPIE: Optical Systems Design 2003, 2003, pp. 423-434

Proceedings of SPIE: Advances in Resist Technology and Processing XX

Jim D. Meador , Doug Holmes , William L. DiMenna , Mariya Nagatkina , Michael Rich , Tony Flaim , Randy Bennett , Ichiro Kobayashi, "193-nm Multilayer Imaging Systems," Proceedings of SPIE: Advances in Resist Technology and Processing XX, 2003, pp. 948-959

Proceedings of SPIE: Advances in Resist Technology and Processing XX

Kelly A. Nowak, "Void Elimination Research in Bottom Anti-Reflective Coatings for Dual Damascene Photolithography," Proceedings of SPIE: Advances in Resist Technology and Processing XX, 2003, pp. 891-901

Proceedings of SPIE: Advances in Resist Technology and Processing XX

Vandana Krishnamurthy , Charles J. Neef , Stephen R. Turner, "Novel Spin Bowl Compatible, Wet Developable Bottom Anti-Reflective Coating for i-Line Applications," Proceedings of SPIE: Advances in Resist Technology and Processing XX, 2003, pp. 883-890

Proceedings of SPIE: Advances in Resist Technology and Processing XX

Chris Cox , Darron Dippel , Craig Ghelli , Pat Valerio , Bill Simmons , Alice Guerrero, "Developer Soluble Organic BARCs for KrF Lithography," Proceedings of SPIE: Advances in Resist Technology and Processing XX, 2003, pp. 878-882

Proceedings of SPIE: Advances in Resist Technology and Processing XX

Charles Neef , Michelle Fowler , Michelle Windsor , Cheryl Nesbit, "New Materials for 193-nm BARC Application," Proceedings of SPIE: Advances in Resist Technology and Processing XX, 2003, pp. 872-877

Proceedings of SPIE: Advances in Resist Technology and Processing XX

Marc Weimer , Vandana Krishnamurthy , Shelly Fowler , Cheryl Nesbit , James Claypool, "New Material for 193-nm Bottom Anti-Reflective Coatings," Proceedings of SPIE: Advances in Resist Technology and Processing XX, 2003, pp. 866-871

Proceedings of SPIE: Advances in Resist Technology and Processing XX

Nickolas L. Brakensiek , Brian Kidd , Michael Mesawich , Don Stevens , Jr. , Barry Gotlinsky, "Spin-on Bottom Antireflective Coating Defect Reduction by Proper Filter Selection and Process Optimization," Proceedings of SPIE: Advances in Resist Technology and Processing XX, 2003, pp. 858-861

Proceedings of SPIE: Advances in Resist Technology and Processing XX

Douglas J. Guerrero , Tonya Trudgeon, "A New Generation of Bottom Anti-Reflective Coatings (BARCs): Photodefinable BARCs," Proceedings of SPIE: Advances in Resist Technology and Processing XX, 2003, pp. 129-135

Proceedings of SPIE: Metrology, Inspection, and Process Control for Microlithography XVII

Stephen Gibbons , Terry Toddy , Heping Wang , Trisha May, "Development of a Polymer Etch Rate Monitor: Design, Characterization, and Application," Proceedings of SPIE: Metrology, Inspection, and Process Control for Microlithography XVII, 2003, pp. 1012-1018

Proceedings of SPIE: Micromachining and Microfabrication Process Technology VIII

Mary Spencer , Kim Ruben , Chenghong Li , Paul Williams , Tony Flaim, "Polymer protective coating for wet deep silicon etching processes," Proceedings of SPIE: Micromachining and Microfabrication Process Technology VIII, 2003, pp. 79-86

Proceedings of the 2003 International Symposium on Microelectronics

Wu-Sheng Shih , Mark G. Daffron, "A Novel Planarization Process for Providing Global Planarity for IC Manufacturing," Proceedings of the 2003 International Symposium on Microelectronics, November 18-20, 2003, pp. 813-818

Solid State Technology

Marci Whittaker , Russell Hopper , Mark Daffron , James E. Lamb III , Sharon Scott, "Planarizing difficult topographies using contact planarization," Solid State Technology, October 2003,

INTERFACE 2003: Proceedings of the ARCH Chemicals Microlithography Symposium

Carlton A. Washburn , Nickolas L. Brakensiek , Earnest Murphy, "Novel BARC Etchback Process for Via-First Dual Damascene Processes," INTERFACE 2003: Proceedings of the ARCH Chemicals Microlithography Symposium, September 22-23, 2003, pp. unnumbered

SEMICON China 2003 SEMI Technology Symposium

Paul Williams , Xie Shao, "Process Considerations for Organic Bottom Anti-Reflective Coating [BARC] Optimization for Front-End and Back-End-Of-Line Integration," SEMICON China 2003 SEMI Technology Symposium, March 12-14, 2003, pp. 229-238

Proceedings of SPIE: Optical Microlithography XVI

Liu He , Rama Puligadda , Joyce Lowes , Michael Rich, "Bottom Anti-Reflective Coatings (BARCs) for 157-nm Lithography," Proceedings of SPIE: Optical Microlithography XVI, 2003, pp. 1386-1395

Proceedings of SPIE: Optical Microlithography XVI

Chelladurai Devadoss , Yubao Wang , Rama Puligadda , Joseph L. Lenhart , Erin L. Jablonski , Daniel A. Fischer , Sharadha Sambasivan , Eric K. Lin , Wen-Li Wu, "Investigation of BARC-Resist Interfacial Interactions," Proceedings of SPIE: Optical Microlithography XVI, 2003, pp. 912-922

INTERFACE 2002: Proceedings of the Arch Chemicals/FUJIFILM Arch Microlithography Symposium

Jacquelynn Backus , Trisha May , Kelly A. Nowak , Brian Davis , John Thompson , Denise Howard, "Void elimination research in bottom anti-reflective coatings for dual damascene photolithography," INTERFACE 2002: Proceedings of the Arch Chemicals/FUJIFILM Arch Microlithography Symposium, September 22-24, 2002, pp. unnumbered

Materials Research Society Symposium Proceedings

Ram W. Sabnis , Mary J. Spencer , Douglas J. Guerrero, "Novel organic, polymeric materials for electronics applications," Materials Research Society Symposium Proceedings, Spring 2002, pp. K9.16.1-K9.16.6

SEMI Technical Symposium (STS): Innovations in Semiconductor Manufacturing (SEMICON West 2002)

James E. Lamb III, "Research on a Novel Planarization Method as an Alternative to CMP," SEMI Technical Symposium (STS): Innovations in Semiconductor Manufacturing (SEMICON West 2002), 2002, pp. 171-173

Journal of Photopolymer Science and Technology

Douglas J. Guerrero , Tony D. Flaim, "Photosensitive Titania Polymers," Journal of Photopolymer Science and Technology, 2002, pp. 447-451

Polymeric Materials: Science and Engineering

Charles J. Neef , Vandana Krishnamurthy , Stephen R. Turner, "Novel spin bowl compatible, wet developable bottom anti-reflective coating for i-line applications," Polymeric Materials: Science and Engineering, 2002, pp. 199-200

SEMICON China 2002 SEMI Technical Symposium

Keith Strassner , Xie Shao , Paul Williams, "Fundamentals in Bottom Anti-Reflective Coating Design for its Successful Integration into i-Line and DUV Manufacturing," SEMICON China 2002 SEMI Technical Symposium, March 26-27, 2002, pp. T-1 - T-5

Proceedings of SPIE: Optical Microlithography XV

Nickolas L. Brakensiek, "Bottom Anti-Reflective Coating Processing Techniques for Via-First Dual Damascene Processes," Proceedings of SPIE: Optical Microlithography XV, 2002, pp. 927-936

Proceedings of SPIE: Advances in Resist Technology and Processing XIX

Mandar Bhave , Jill Akers , James Claypool , Jim Meador , Anne Lindgren, "Thin Organic Bottom Antireflective Coatings for 193nm Lithography," Proceedings of SPIE: Advances in Resist Technology and Processing XIX, 2002, pp. 1074-1084

Proceedings of SPIE: Advances in Resist Technology and Processing XIX

James Claypool , Rama Puligadda , Jill Akers , Rikimaru Sakamoto , Ken-ichi Mizusawa, "Design Considerations for Bottom Antireflective Coating for 157nm Lithography," Proceedings of SPIE: Advances in Resist Technology and Processing XIX, 2002, pp. 1065-1073

Proceedings of SPIE: Advances in Resist Technology and Processing XIX

Nickolas L. Brakensiek , Chris Cox , Rama Puligadda, "Processing Techniques for Novel BARC Chemistries," Proceedings of SPIE: Advances in Resist Technology and Processing XIX, 2002, pp. 1043-1051

Proceedings of SPIE: Sensors and Camera Systems for Scientific, Industrial, and Digital Photography Applications III

Gary Brand , Lorie Rieken , Curtis Planje , Jonathan Mayo , Gu Xu, "High Resolution Dyed Color Filter Material for Use in Digital Photography Applications - Cyan, Magenta, and Yellow Color Photoresists," Proceedings of SPIE: Sensors and Camera Systems for Scientific, Industrial, and Digital Photography Applications III, 2002, pp. 377-383

Journal of Electronic Materials

R. Fang , H. Gu , M.J. O'Keefe , T.J. O'Keefe , W.-S. Shih , K.D. Leedy , R. Cortez, "Spontaneous, non-aqueous electrochemical deposition of copper and palladium on Al and Al(Cu) thin films," Journal of Electronic Materials, 2001, pp. 349-354

Journal of Vacuum Science and Technology

Min-Shyan Sheu , Mary J. Spencer , Douglas J. Guerrero , William L. DiMenna , Mario Cazeca , Ram W. Sabnis, "Organic polymeric coatings deposited by plasma enhanced chemical vapor deposition," Journal of Vacuum Science and Technology, November-December 2001, pp. 2184-2189

SEMICON China 2001 SEMI Technical Symposium

Keith Strassner , Xie Shao , Paul Williams, "The Contributions of Organic Anti-reflective Coatings in Modern Optical Lithography," SEMICON China 2001 SEMI Technical Symposium, March 28-29, 2001, pp. G-1 - G-7

Proceedings of SPIE: Lithography for Semiconductor Manufacturing II

Shreeram Deshpande , Nick Brakensiek , Paul Williams , Kelly Nowak , Shelly Fowler, "Sub 0.35¨µm i-Line Lithography with New Advanced Bottom Anti-Reflective Coatings Optimized for High Topography and Dual Damascene Applications," Proceedings of SPIE: Lithography for Semiconductor Manufacturing II, 2001, pp. 354-367

Proceedings of SPIE: Advances in Resist Technology and Processing XVIII

Tianyue Yu , Philip Ching , Christopher Ober , Shreeram Deshpande , Rama Puligadda, "Development of a Bond Contribution Model for Structure: Property Correlations in Dry Etch Studies," Proceedings of SPIE: Advances in Resist Technology and Processing XVIII, 2001, pp. 945-951

Proceedings of SPIE: Advances in Resist Technology and Processing XVIII

Shreeram Deshpande , Kelly Nowak , Shelly Fowler , Paul Williams , Manuel Arjona, "Novel Conformal Organic Anti-Reflective Coatings for Advanced i-Line Lithography," Proceedings of SPIE: Advances in Resist Technology and Processing XVIII, 2001, pp. 855-863

Proceedings of SPIE: Advances in Resist Technology and Processing XVIII

Jim D. Meador , Xie Shao , Mandar Bhave , Chris Cox , John Thompson , Deborah Thomas , Stephen Gibbons , Ashley Farnsworth , Michael Rich, "Improved Crosslinkable Polymeric Binders for 193-nm Bottom Antireflective Coatings (BARCs)," Proceedings of SPIE: Advances in Resist Technology and Processing XVIII, 2001, pp. 846-854

Proceedings of SPIE: Advances in Resist Technology and Processing XVIII

Yubao Wang , Xiaoming Wu , Gu Xu , Jim Lamb III , John Sullivan , James Claypool , Jackie Backus , Sean Trautman , Xie Shao , Satoshi Takei , Yasuhisa Sone , Kenichi Mizusawa , Hiroyoshi Fukuro, "Development of Full-Fill Bottom Anti-Reflective Coatings for Dual Damascene Process," Proceedings of SPIE: Advances in Resist Technology and Processing XVIII, 2001, pp. 838-845

Proceedings of SPIE: Advances in Resist Technology and Processing XVIII

Rama Puligadda , Runhui Huang , Chris Cox , James E. Lamb III , Manuel Arjona , James Claypool, "New Fast Etching Bottom Antireflective Coatings for 248nm Lithography," Proceedings of SPIE: Advances in Resist Technology and Processing XVIII, 2001, pp. 829-837

Proceedings of SPIE: Metrology, Inspection, and Process Control for Microlithography XV

Runhui Huang , Ryan Giedd, "Electrical Characterization of an Ion Beam Mixed Metal/Polymer System," Proceedings of SPIE: Metrology, Inspection, and Process Control for Microlithography XV, 2001, pp. 583-588

Journal of Photopolymer Science and Technology

Xie Shao , Jim Meador , Shree Deshpande , Rama Puligadda , Kenichi Mizusawa , Shinya Arase, "Recent Progress in Organic Bottom Anti-reflective Coatings," Journal of Photopolymer Science and Technology, 2001, pp. 481-488

SEMICON China 2001 SEMI Technical Symposium

Tom Evers , Jo Mayo , Tim Limmer , Gu Xu , John Berges, "Novel Photo Sensitive Pigmented Color Filter Materials," SEMICON China 2001 SEMI Technical Symposium, March 28-29, 2001, pp. FF-1 - FF-5

Advanced Metallization Conference 2000 (AMC 2000)

T.J. O'Keefe , H. Gu , R. Fang , M.J. O'Keefe , W.-S. Shih , K.D. Leedy , R. Cortez, "An alternative metallic seeding technique for subsequent electrochemical deposition of copper onto barrier metals," Advanced Metallization Conference 2000 (AMC 2000), October 2-5, 2000, pp. 137-143

IMAPS International Advanced Technology Workshop on Flip Chip Technology

W.-S. Shih , J.A.M. Snook , D.J. Guerrero , M.J. O'Keefe, "Selective deposition of metals for integrated circuits packaging applications," IMAPS International Advanced Technology Workshop on Flip Chip Technology, March 3-5, 2000, pp. unnumbered

INTERFACE 2000: Proceedings of the ARCH Microlithography Symposium

Benedicte Mortini , Severine Gally , Patrick J. Paniez , Samir Derrough , Xie Shao, "Investigation of 193 nm resist/organic BARC compatibility and optimization of BARC process conditions," INTERFACE 2000: Proceedings of the ARCH Microlithography Symposium, November 5-7, 2000, pp. 163-173

Proceedings of SPIE: Microlithographic Techniques in Integrated Circuit Fabrication II

Paul Williams , Alice Martin , Marlene Stroble , Bill Roberts , Frank Goodwin , Lars Vslkel , Axel Fiecke , Sean Trautman , Jim Lamb III, "Optimization of the planarizing performance of a DUV organic bottom anti-reflective coating for via first dual damascene process: Cooperation to achieve material and process characterization," Proceedings of SPIE: Microlithographic Techniques in Integrated Circuit Fabrication II, 2000, pp. 160-168

Proceedings of SPIE: Advances in Resist Technology and Processing XVII

Jim D. Meador , Xie Shao , Vandana Krishnamurthy , Manuel Arjona , Mandar Bhave , Gu Xu , James Claypool , Anne Lindgren, "Second-Generation 193-nm Bottom Antireflective Coatings (BARCs)," Proceedings of SPIE: Advances in Resist Technology and Processing XVII, 2000, pp. 1009-1018

Proceedings of SPIE: Advances in Resist Technology and Processing XVII

George E. Bailey , Nicholas K. Eib , Earnest C. Murphy, "Progressions in deep ultraviolet bottom antireflective coatings," Proceedings of SPIE: Advances in Resist Technology and Processing XVII, 2000, pp. 935-948

Proceedings of SPIE: Metrology, Inspection, and Process Control for Microlithography XIV

Xiaoming Wu , Joe Johnson , Nick Brakensiek , James Lamb III , Xie Shao , Shreeram Deshpande , Gu Xu , Bill Simmons, "Advancements in Organic Anti-Reflective Coatings for Dual Damascene Processes," Proceedings of SPIE: Metrology, Inspection, and Process Control for Microlithography XIV, 2000, pp. 797-805

Electrochemical Society Proceedings: Fundamentals of Electrochemical Deposition and Dissolution

H. Gu , T.J. O'Keefe , M.J. O'Keefe , K.D. Leedy , R. Cortez , R.E. Strawser , W.-S. Shih, "Spontaneous electrochemical deposition of metals from organic solutions," Electrochemical Society Proceedings: Fundamentals of Electrochemical Deposition and Dissolution, 1999, pp. 242-251

Displays

Ram W. Sabnis, "Color filter technology for liquid crystal displays," Displays, November 1999, pp. 119-129

Journal of Heterocyclic Chemistry

N.D. Sonawane , D.W. Rangnekar , R.W. Sabnis, "2-Aminothiophenes by the Gewald Reaction," Journal of Heterocyclic Chemistry, March-April 1999, pp. 333-345

INTERFACE '99: Proceedings of the ARCH Microlithography Seminar

Sharon Scott , Paul Williams , James Lamb III , Xie Shao , Joe Johnson , Bill Simmons , Shree Desphande, "Organic Anti-Reflective Coatings for Dual Damascene Applications," INTERFACE '99: Proceedings of the ARCH Microlithography Seminar, 1999, pp. 183-195

Proceedings of SPIE: Microelectronic Device Technology III

Hubert Enichlmair , Oliver Stelmaszyk , Paul Williams, "Optimization of a wet-patterning bottom antireflective i-line coating for both poly gate and metal lithography processes," Proceedings of SPIE: Microelectronic Device Technology III, 1999, pp. 265-273

Proceedings of SPIE: Advances in Resist Technology and Processing XVI

Jim D. Meador , Douglas J. Guerrero , Gu Xu , Xie Shao , Norm Dobson , James Claypool , Kelly Nowak, "Recent Progress in 193 nm Antireflective Coatings," Proceedings of SPIE: Advances in Resist Technology and Processing XVI, 1999, pp. 800-809

Proceedings of SPIE: Metrology, Inspection, and Process Control for Microlithography XIII

Bill Simmons , James Claypool , Jim E. Lamb III , Xie Shao , Earnie Murphy, "Broadband planarizing anti-reflective coating for i-line, DUV and 193nm microlithographic applications," Proceedings of SPIE: Metrology, Inspection, and Process Control for Microlithography XIII, 1999, pp. 884-894

Electrical and Optical Polymer Systems: Fundamentals, Methods, and Applications

Y.Q. Wang , J. Kaufmann , M.G. Moss , R.E. Giedd, "Electrical applications of ion-implanted polymer films," Electrical and Optical Polymer Systems: Fundamentals, Methods, and Applications, 1998, pp. 1011-1030

Asia Display '98

R.W. Sabnis , J.W. Mayo , M.D. Stroder , K. Aoba , K. Ema , Y. Sone , T. Nihira , A. Yanagimoto, "A novel, photosensitive ultrathin black matrix system," Asia Display '98, 1998, pp. 1025-1028

Materials Research Society Symposium Proceedings

R. Fang , H. Gu , M.J. O'Keefe , T.J. O'Keefe , W.-S. Shih , K.D. Leedy , R. Cortez, "Maskless direct deposition of copper onto aluminum bond pads for flip chip applications," Materials Research Society Symposium Proceedings, 1998, pp. 85-90

Society for Information Display '98 Symposium Digest

R.W. Sabnis , M.D. Stroder , R.E. Nichols , E.G. Hays , A. Yanagimoto , Y. Sone , Y. Watanabe , K. Ema, "High-Optical-Density Ultra-Thin Black-Matrix System," Society for Information Display '98 Symposium Digest, 1998, pp. 548-551

Journal of Chromatography A

Heping Wang , Patricia M. Callahan, "Adsorption studies of azo dyes as resonance Raman spectroscopic probes at solid-liquid interfaces," Journal of Chromatography A, December 1998, pp. 121-134

Proceedings of SPIE: Advances in Resist Technology and Processing XV

Douglas J. Guerrero , Jim D. Meador , Gu Xu , Hitoshi Suzuki , Yasuhisa Sone , Vandana Krishnamurthy , James Claypool , James E. Lamb III, "Deep Ultraviolet Antireflective Coating with Improved Conformality, Optical Density, and Etch Rate," Proceedings of SPIE: Advances in Resist Technology and Processing XV, 1998, pp. 228-235

Proceedings of SPIE: Metrology, Inspection, and Process Control for Microlithography XII

Colin Hester , Jim Lamb , Xie Shao , Paul Williams , Tony Flaim, "Fast Etch Anti-Reflective Coating for Sub-0.35μm i-Line Microlithography Applications," Proceedings of SPIE: Metrology, Inspection, and Process Control for Microlithography XII, 1998, pp. 518-525

Future Fab International

Maaike Op de Beeck , Geert Vandenberghe , Patrick Jaenen , Feng-Hong Zhang , Christie Delvaux , Ilse van Puyenbroeck , Kurt Ronse , James E. Lamb III , Johan B.C. van der Hilst, "Optimisation of bottom-ARC processes with respect to CD control," Future Fab International, 1998, pp. 205-210

Proceedings of SPIE: Optical Microlithography XI

Maaike Op de Beeck , Geert Vandenberghe , Patrick Jaenen , Feng-Hong Zhang , Christie Delvaux , Paul Richardson , Ilse van Puyenbroeck , Kurt Ronse , James E. Lamb III , Johan B.C. van der Hilst , Johannes van Wingerden, "Bottom-ARC optimization methodology for 0.25 um lithography and beyond," Proceedings of SPIE: Optical Microlithography XI, 1998, pp. 322-336

Proceedings of SPIE: Advances in Resist Technology and Processing XV

Gu Xu , Douglas J. Guerrero , Norman J. Dobson, "New Antireflective Coatings for 193 nm Lithography," Proceedings of SPIE: Advances in Resist Technology and Processing XV, 1998, pp. 524-531

Nuclear Instruments and Methods in Physics Research B

Y.Q. Wang , R.E. Giedd , M.G. Moss , J. Kaufmann, "Electronic properties of ion-implanted polymer films," Nuclear Instruments and Methods in Physics Research B, 1997, pp. 710-715

Application of Accelerators in Research and Industry, AIP Conference Proceedings

R.E. Giedd , M.G. Moss , J. Kaufmann , Y.Q. Wang, "Ion beam modification of polymers," Application of Accelerators in Research and Industry, AIP Conference Proceedings, 1997, pp. 993-996

Application of Accelerators in Research and Industry

J. Kaufmann , M.G. Moss , R.E. Giedd , Y.Q. Wang, "Inhomogeneous Characterstic of Ion-Implanted Polymers within the Implanted Layer," Application of Accelerators in Research and Industry, 1997, pp. 985-988

INTERFACE '97: Proceedings of the Olin Microlithography Seminar

Gunter Elmendorff , Joseph A. Raposo , Jim E. Lamb III , Paul Williams , Mariya Nagatkina , Frank Dohmen, "Organic i-line antireflective coating for sub-half micron wet and dry patterning," INTERFACE '97: Proceedings of the Olin Microlithography Seminar, November 9-11, 1997, pp. 101-117

Proceedings, 11th International Conference, Photopolymers: Principles, Processes, and Materials

Tony D. Flaim , Xie Shao, "Design and Performance of Polymeric Anti-Reflective Coatings for Advanced i-Line Photoresist Processes," Proceedings, 11th International Conference, Photopolymers: Principles, Processes, and Materials, October 6-8, 1997, pp. 323-338

Materials Research Society Symposium Proceedings

James Kaufmann , Mary G. Moss , Y.Q. Wang , Ryan E. Giedd, "Versatile applications of ion implanted polymers," Materials Research Society Symposium Proceedings, 1996, pp. 305-310

Society for Information Display Euro '96 Proceedings

Jonathan W. Mayo , Michael J. Pfeiffer , Michael D. Stroder , Alain Dunand , Stephane Vago , Benedicte Galea , Jim Sedon , Mark Newsham , Brian Martin , Don Perettie , Ben DeKoven, "Colour Filters for Flat Panel Displays by High Definition Ink Jet Printing," Society for Information Display Euro '96 Proceedings, 1996, pp. 537-540

Proceedings of the International GPC Symposium '96

L. Jeff Myron , Gery Armaly , Heping Wang, "Mobile Phase Modification in Polyamic Acid Molecular Weight Measurement by Gel Permeation Chromatography," Proceedings of the International GPC Symposium '96, September 8-11, 1996, pp. 484-498

Proceedings of Sixteenth International Display Research Conference (IDRC), SID's 16th International Display Research Conference, Euro Display '96

Y. Watanabe , Y. Sone , A. Yanagimoto , E.G. Hays , M.D. Stroder , J.W. Mayo , R.W. Sabnis , K. Ema, "A Novel Black Matrix System," Proceedings of Sixteenth International Display Research Conference (IDRC), SID's 16th International Display Research Conference, Euro Display '96, 1996, pp. 240-243

Proceedings of SPIE: Infrared Technology and Applications XXII

James Kaufmann , Mary G. Moss , Yongqiang Wang , Ryan E. Giedd, "Conductive Polymer Films for Microbolometer Applications," Proceedings of SPIE: Infrared Technology and Applications XXII, 1996, pp. 334-344

Proceedings of SPIE: Optical Microlithography IX

Chang-Ming Dai , Chin-Lung Lin , Shi-Chang Tai , James E. Lamb III , M. Iida, "Post Exposure Baking Temperature Effect on Resist Profile with Bottom Anti-reflective Coating," Proceedings of SPIE: Optical Microlithography IX, 1996, pp. 598-607

Proceedings of SPIE: Advances in Resist Technology and Processing XIII

Vanada Krishnamurthy , Tony Flaim , Linda Insalaco , John Sturtevant , Jim Meador , John Peterson , Andy Eckert, "Removable Organic Antireflection Coating," Proceedings of SPIE: Advances in Resist Technology and Processing XIII, 1996, pp. 738-746

Proceedings of SPIE: Advances in Resist Technology and Processing XIII

Edward K. Pavelchek , Jim D. Meador , Douglas J. Guerrero , James E. Lamb III , Ajit Kache , Manuel doCanto , Timothy G. Adams , David Stark , Danny Miller, "A Highly Absorbing ARC for DUV Lithography," Proceedings of SPIE: Advances in Resist Technology and Processing XIII, 1996, pp. 692-699

Materials Research Society Symposium Proceedings

James Kaufmann , Mary G. Moss , Yongqiang Wang , Ryan E. Giedd, "Suspended Conductive Polymer Bridges from Ion Implanted Polymers," Materials Research Society Symposium Proceedings, 1996, pp. 329-334

European Semiconductor

Jim Lamb, "Anti-reflective coatings," European Semiconductor, February 1995, pp. 13-15

Semiconductor Fabtech

James E. Lamb III, "Organic ARC Anti-Reflective Coating Application within the Microelectronics Industry," Semiconductor Fabtech, 1995, pp. 223-227

Asia Display '95: Proceedings of the 15th International Display Research Conference

J.M. Mayo , M.J. Pfeiffer , M.D. Stroder, "Optically Transparent Barrier Photoresist," Asia Display '95: Proceedings of the 15th International Display Research Conference, October 16-18, 1995, pp. 717-720

Proceedings of SPIE: Advances in Resist Technology and Processing XII

L. Insalaco , V. Krishnamurthy , John Sturtevant , J. Mitchener, "UV Pretreatments for Improved Etching of Organic ARC Layer," Proceedings of SPIE: Advances in Resist Technology and Processing XII, 1995, pp. 540-550

INTERFACE '94: Proceedings of the OCG Microelectronic Materials Microlithography Seminar

Elliott Capsuto , Ardavan Niroomand, "Characterization of an advanced i-line bottom anti-reflecting coating for a 400mm process," INTERFACE '94: Proceedings of the OCG Microelectronic Materials Microlithography Seminar, 1994, pp. 183-195

Materials Research Society Symposium Proceedings

Y.Q. Wang , D.S. Robey , R.E. Giedd , M.G. Moss, "Piezoresistivity in ion implanted polymer films," Materials Research Society Symposium Proceedings, 1994, pp. 349-354

Materials Research Society Symposium Proceedings

R.E. Giedd , D. Robey , Y.Q. Wang , M.G. Moss , J. Kaufmann, "The electronic microstructure in the implant layer of ion implanted polymers," Materials Research Society Symposium Proceedings, 1994, pp. 75-80

SID 94 Digest

J. Hunninghake , M. Stroder , J. Mayo , G. Brand , E. Hays, "A Systems Approach to Color Filters for Flat-Panel Displays," SID 94 Digest, 1994, pp. 407-410

Solid State Technology

James Lamb, "A customer-supplier partnership resolves manufacturing and defect issues," Solid State Technology, 1994, pp. 55

Materials Research Society Symposium Proceedings

R.A. Mayanovic , Y. Feng , K.W. Groh , Y. Wang , R.E. Giedd , M.G. Moss, "Local Structure Surrounding Implanted As+ Ions in Polysulfone Films," Materials Research Society Symposium Proceedings, 1994, pp. 113-116

Journal of the Society for Information Display

Joseph A. Raposo , Vijay P. Singh , John C. McClure , Raymond G. Bell , Jonathan W. Mayo, "Current transport and aging in direct-current powder electroluminescent display devices," Journal of the Society for Information Display, 1993, pp. 397-403

Materials Letters

Y.P. Feng , D.S. Robey , Y.Q. Wang , R.E. Giedd , M.G. Moss, "Conductivity and stability in ion-implanted polyaniline," Materials Letters, 1993, pp. 167-170

Solid State Technology

Mary G. Moss , James Lamb, "Expanding Photolithography Process Latitude with Organic AR Coatings," Solid State Technology, September 1993, pp. 79-83

SID 93 Digest

V.P. Singh , J.A. Raposo , J.C. McClure , R.G. Bell , J.W. Mayo, "A Study of Modified Structures for Reducing Aging Effects in DCPEL Display Devices," SID 93 Digest, 1993, pp. 859-862

Polymer Engineering and Science

Gregg A. Barnes , Tony D. Flaim , Susan K. Jones , Bruce W. Dudley , David A. Koester , Charles R. Peters , Stephen M. Bobbio, "Anti-Reflective Coating for Deep UV Lithography Process Enhancement," Polymer Engineering and Science, Mid-November 1992, pp. 1578-1582

Proceedings of SPIE: Advances in Resist Technology and Processing IX

Bruce W. Dudley , Susan K. Jones , Charles R. Peters , David A. Koester , Gregg A. Barnes , Tony D. Flaim , James E. Lamb III, "Enhancement of Deep UV Patterning Integrity and Process Control Using Anti-Reflective Coating," Proceedings of SPIE: Advances in Resist Technology and Processing IX, 1992, pp. 638-646

Advances in Polyimide Science and Technology, Proceedings of the Fourth International Conference on Polyimides

Michael J. Pfeiffer , Chung-Peng Ho , Tony D. Flaim, "All-trans polyamic esters as precursors to rigid rod polyimides," Advances in Polyimide Science and Technology, Proceedings of the Fourth International Conference on Polyimides, October 30-November 1, 1991, pp. 213-219

Photopolymers: Principles - Processes and Materials

Gregg A. Barnes , Susan K. Jones , Bruce W. Dudley , David A. Koester , Charles R. Peters , Stephen M. Bobbio , Tony D. Flaim, "Anti-reflective coating for deep UV lithography process enhancement," Photopolymers: Principles - Processes and Materials, October 28-30, 1991, pp. 259-270

Nuclear Instruments and Methods in Physics Research [Netherlands]

D.E. Robertson , M.M. Craig , M.G. Moss , Ryan E. Giedd, "Temperature sensitive ion-implanted polymer films," Nuclear Instruments and Methods in Physics Research [Netherlands], 1991, pp. 1253-1256

Proceedings of SPIE: Optical/Laser Microlithography IV

S. Sethi , R. Distasio , D. Ziger , J. Lamb , T. Flaim, "Use of anti-reflective coatings in deep UV lithography," Proceedings of SPIE: Optical/Laser Microlithography IV, 1991, pp. 30-40

INTERFACE '89: Proceedings of the Microelectronics Seminar

T. Brewer , G.A. Barnes , T.D. Flaim, "A Novel Release Layer System for IC Processing," INTERFACE '89: Proceedings of the Microelectronics Seminar, Nov. 6-7, 1989, pp. 363-380

Proceedings of SPIE: Advances in Resist Technology and Processing VI

B. Martin , A.N. Odell , J. E. Lamb III, "Improved Bake Latitude Organic Anti-Reflective Coatings for High Resolution Metallisation Lithography," Proceedings of SPIE: Advances in Resist Technology and Processing VI, 1989, pp. 543-554

Proceedings of SPIE: Advances in Resist Technology and Processing VI

Mary G. Moss , Ruth M. Cuzmar , Terry Brewer, "Positive-working polyimide resists based on diazonaphthoquinone photochemistry," Proceedings of SPIE: Advances in Resist Technology and Processing VI, 1989, pp. 396-405

Proceedings of SPIE: Liquid Crystal Chemistry, Physics, and Applications

John J. Gostic, Jr. , Dan W. Hawley , William J. Latham, "High absorptivity organic coatings from transparent precursors," Proceedings of SPIE: Liquid Crystal Chemistry, Physics, and Applications, 1989, pp. 227-231

Polyimides: Synthesis, Characterization and Application, Proceedings of Third International Conference on Polyimides, Ellenville, New York, November 2-4, 1988

Mary G. Moss , Barbara L. Horter , Tony D. Flaim, "Synthesis of Polyamic Esters by Reaction of Polyamic Acid Salts With Alkyl Halides," Polyimides: Synthesis, Characterization and Application, Proceedings of Third International Conference on Polyimides, Ellenville, New York, November 2-4, 1988, 1989, pp. 279-291

Solid State Technology

William J. Latham , Dan W. Hawley, "Color Filters from Dyed Polyimides," Solid State Technology, May 1988, pp. 223-226

Proceedings of the ACS Division of Polymeric Materials: Science and Engineering

Mary G. Moss , Chung-Peng Ho, "Novel High Resolution Imagable Polyimide Siloxane for Electron Beam Lithography," Proceedings of the ACS Division of Polymeric Materials: Science and Engineering, 1988, pp. 1019-1023

Microelectronic Engineering

James E. Lamb III , Donna D. Hawley , J. Michael Mori, "Spin-On Dry Etch Process for Submicron Lithography," Microelectronic Engineering, December 1987, pp. 85-90

Proceedings of the SID

William J. Latham , Terry L. Brewer , Dan W. Hawley , James E. Lamb III , Lynn K. Stichnote, "Polyimide Color Filters for Liquid-Crystal Displays," Proceedings of the SID, 1987, pp. 385-389

SID 87 Digest

William J. Latham , Terry L. Brewer , Dan W. Hawley , James E. Lamb III , Lynn K. Stichnote, "A New Class of Color Filters for Liquid-Crystal Displays," SID 87 Digest, 1987, pp. 379-382

Proceedings of the ACS Division of Polymeric Materials: Science and Engineering

Chung-Peng Ho , Ruth Cuzmar , Mary G. Moss , Russ Pagel , Terry Brewer, "Electron-Beam Lithographic Properties of Polyimides," Proceedings of the ACS Division of Polymeric Materials: Science and Engineering, 1986, pp. 741-745

Proceedings of SPIE: Integration and Packaging of Optoelectronic Devices

William J. Latham, "A Black, Patternable Polyimide Coating for Monolithic Optoelectronics Applications," Proceedings of SPIE: Integration and Packaging of Optoelectronic Devices, 1986, pp. 175-179

Ultrapure Water

Mike Schmidt, "Novel Reverse Osmosis Membranes by Plasma Polymerization," Ultrapure Water, March-April 1985, pp. 28-30

INTERFACE '83: Proceedings of the Kodak Microelectronics Seminar

Richard D. Coyne , Terry Brewer, "Resist processes on highly reflective surfaces using antireflection coatings," INTERFACE '83: Proceedings of the Kodak Microelectronics Seminar, November 14-15, 1983, pp. 40-51

Journal of Applied Photographic Engineering

Terry Brewer , Robert Carlson , John Arnold, "The Reduction of the Standing-Wave Effect in Positive Photoresists," Journal of Applied Photographic Engineering, December 1981, pp. 184-186